JP2981243B2 - Surface treatment method - Google Patents

Surface treatment method

Info

Publication number
JP2981243B2
JP2981243B2 JP1278571A JP27857189A JP2981243B2 JP 2981243 B2 JP2981243 B2 JP 2981243B2 JP 1278571 A JP1278571 A JP 1278571A JP 27857189 A JP27857189 A JP 27857189A JP 2981243 B2 JP2981243 B2 JP 2981243B2
Authority
JP
Japan
Prior art keywords
gas
oxide film
substrate
surface treatment
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP1278571A
Other languages
Japanese (ja)
Other versions
JPH02256235A (en
Inventor
弘剛 西野
伸夫 早坂
晴雄 岡野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26552927&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2981243(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP1278571A priority Critical patent/JP2981243B2/en
Publication of JPH02256235A publication Critical patent/JPH02256235A/en
Application granted granted Critical
Publication of JP2981243B2 publication Critical patent/JP2981243B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、半導体素子の製造に用いることのできる被
処理基体の表面処理技術に係り、特に表面に酸化膜を有
する被処理基体の前記酸化膜をドライにエッチングある
いは除去する表面処理技術に関する。
DETAILED DESCRIPTION OF THE INVENTION [Object of the Invention] (Industrial application field) The present invention relates to a surface treatment technique for a substrate to be processed which can be used for manufacturing a semiconductor device, and particularly relates to a substrate having an oxide film on the surface. The present invention relates to a surface treatment technique for dry etching or removing the oxide film of a treatment substrate.

(従来の技術) 従来、シリコン等の半導体基体の半導体素子を製造す
る場合に、前記基体あるいは前記基体上の半導体膜、金
属膜等の表面に形成される自然酸化膜が問題となってい
る。この自然酸化膜は基体を大気にさらしたり、基体を
製造装置間で受け渡したりすることにより簡単に形成さ
れるものである。前記自然酸化膜は、ごく薄い膜(例え
ばシリコンの自然酸化膜は厚さ5〜20Å、2〜3原子層
の酸化物膜)であるがこの膜が半導体素子の製造プロセ
スあるいは素子の特性に多大の影響を与える。
(Prior Art) Conventionally, when manufacturing a semiconductor element having a semiconductor substrate such as silicon, a natural oxide film formed on a surface of the substrate or a semiconductor film or a metal film on the substrate has been a problem. This natural oxide film is easily formed by exposing the substrate to the atmosphere or transferring the substrate between manufacturing apparatuses. The natural oxide film is a very thin film (for example, a natural oxide film of silicon has a thickness of 5 to 20 ° and an oxide film of 2 to 3 atomic layers), and this film greatly affects a semiconductor device manufacturing process or device characteristics. Affect.

例えば半導体基板等に形成されたある材料を他の材質
の異なる材料例えばシリコン酸化膜に対して選択的にエ
ッチング除去する選択エッチングや半導体基板等の基板
表面の特定な部分例えばコンタクトホールやスルーホー
ルのみに選択的に膜を堆積せしめる選択CVD等の製造プ
ロセスで問題となる。
For example, selective etching in which a material formed on a semiconductor substrate or the like is selectively etched away from a different material such as a silicon oxide film, or a specific portion of a substrate surface of a semiconductor substrate or the like, for example, only contact holes and through holes This is a problem in a manufacturing process such as selective CVD in which a film is selectively deposited on the substrate.

すなわち、前記選択エッチングや選択CVDは、種類の
異なる材料の性質の違いを利用して行なうものであるの
で例えば、選択エッチングにおいて電気的に中性な原
子、分子を用いてシリコン等の半導体やその窒化物ある
いはアルミ等金属とそれらの酸化物をエッチングする場
合、シリコンやアルミ塩素ガス、シリコン窒化物は塩素
と弗素の混合ガス等により容易にエッチングされるが、
それらの酸化物は全くエッチングされず選択エッチが可
能であるが、前記半導体や金属表面に自然酸化膜が形成
されていると前記選択性は低下し、良好な選択エッチン
グは行なえない。
That is, since the selective etching and the selective CVD are performed by utilizing the difference in the properties of different kinds of materials, for example, a semiconductor such as silicon or the like by using electrically neutral atoms and molecules in the selective etching. When etching a metal such as nitride or aluminum and their oxides, silicon, aluminum chlorine gas, and silicon nitride are easily etched by a mixed gas of chlorine and fluorine.
These oxides are not etched at all and can be selectively etched. However, if a natural oxide film is formed on the surface of the semiconductor or metal, the selectivity is lowered, and good selective etching cannot be performed.

また、最近、高選択エッチングを行なうために基板の
冷却手段を有するマグネトロン型の反応性イオンエッチ
ング装置を用い、前記冷却手段により基板を冷却しなが
らエッチングを行なうものが知られている。これは、例
えば塩素系のガスによりシリコン基板をシリコン酸化膜
に対して選択的にエッチングする場合、エッチング生成
物のSiCl4や、これがマグネトロンプラズマにより分解
されて生じたSiClx(x=1〜3)は極性を有するシリ
コン酸化膜表面では吸着が起こし易く、これが吸着層と
なって、エッチングを抑制し、一方、シリコン基板では
吸着層が形成され難いことを利用し、これにより高選択
エッチングが達成されるのである。この場合も、前記シ
リコン基板上に自然酸化膜が形成されていると選択性は
低下してしまう。
In addition, recently, there has been known an apparatus using a magnetron type reactive ion etching apparatus having a cooling means for a substrate for performing highly selective etching, and performing etching while cooling the substrate by the cooling means. This is because, for example, when a silicon substrate is selectively etched with respect to a silicon oxide film by using a chlorine-based gas, SiCl 4 as an etching product or SiCl x (x = 1 to 3) generated by decomposition of the etching product by magnetron plasma. ) Is based on the fact that adsorption tends to occur on the surface of a silicon oxide film having polarity, and this serves as an adsorption layer, which suppresses etching, while utilizing the fact that an adsorption layer is unlikely to be formed on a silicon substrate, thereby achieving highly selective etching. It is done. Also in this case, if a natural oxide film is formed on the silicon substrate, the selectivity is reduced.

また、選択CVDの場合も、例えばWF6と水素ガスあるい
はシラン系ガスを用いて基板温度を適度に保つとシリコ
ンや金属表面とは前記ガスが反応を生じタングステンが
堆積するが、それらの酸化物とは反応が生じずその上に
は堆積しないことを利用して選択CVDが達成されるが、
この場合も前記シリコンや金属表面に自然酸化膜がある
と選択性は低下し、良好な選択CVDは行なえない。
Also, in the case of selective CVD, if the substrate temperature is kept at an appropriate level using, for example, WF 6 and a hydrogen gas or a silane-based gas, the gas reacts with silicon or a metal surface, and tungsten is deposited. Selective CVD is achieved by utilizing the fact that no reaction occurs and does not deposit on it.
Also in this case, if there is a natural oxide film on the silicon or metal surface, the selectivity is lowered and good selective CVD cannot be performed.

さらに前記コンタクトホールやスルーホールへの選択
CVDにより配線、電極材料を埋め込む場合、自然酸化膜
があると抵抗が増大してしまい、素子動作の高速性を劣
化させてしまうという問題もある。
Further selection for the contact holes and through holes
When wiring and electrode materials are buried by CVD, there is a problem that the resistance increases if a natural oxide film is present, and the high-speed operation of the device is deteriorated.

このような選択性低下の問題は、化学反応を主体とし
たプロセスにおいて特に顕著であるが、反応性イオンエ
ッチング等化学反応にイオンとエネルギーを与える物理
的作用が加味されたようなプロセスにおいても同様に生
じる。
Such a problem of the decrease in selectivity is particularly remarkable in a process mainly based on a chemical reaction, but also in a process in which a physical action of giving ions and energy to a chemical reaction such as reactive ion etching is added. Occurs.

このような基板表面に自然酸化膜が形成されていると
エッチングや堆積において前記選択性が得られず良好な
エッチングや堆積が行なえない等の問題があるが、現状
では、これらの自然酸化膜に対する処理を行なっていな
い。しかしながら、今後素子の微細化に伴ないより高選
択なエッチングや堆積を行なうために、前記自然酸化膜
を簡便に除去し得る技術が望まれている。
When a natural oxide film is formed on such a substrate surface, there is a problem that the selectivity cannot be obtained in etching or deposition, and good etching or deposition cannot be performed. No processing has been performed. However, in order to perform more selective etching and deposition along with miniaturization of elements in the future, a technique capable of easily removing the natural oxide film is desired.

さらに、またエッチングやCVDの他の製造プロセスの
酸化、エピタキシャル成長、気相拡散等においても自然
酸化膜が基体表面に形成されているとは問題となる。
Furthermore, it is also a problem that the natural oxide film is formed on the surface of the substrate in oxidation, epitaxial growth, vapor phase diffusion, and the like in other manufacturing processes such as etching and CVD.

すなわち、酸化膜の形成、特にゲート酸化膜の形成に
おいてはその膜の高品質化および膜厚の均一性が求めら
れる。しかしながら前記酸化膜を高温の熱処理により形
成する前に自然酸化膜が存在していると、常温で生じる
膜質の悪い前記自然酸化膜をとり込みながらゲート酸化
膜が形成され膜質の劣化が生じたり、前記自然酸化膜の
膜厚が不均一で再現性がないためにその後形成される前
記ゲート酸化膜の膜厚も不均一となる等の問題がある。
That is, in the formation of an oxide film, particularly in the formation of a gate oxide film, high quality and uniform thickness of the film are required. However, if a natural oxide film is present before the oxide film is formed by a high-temperature heat treatment, a gate oxide film is formed while taking in the natural oxide film having a poor film quality generated at room temperature, and the film quality is deteriorated. Since the thickness of the natural oxide film is not uniform and has no reproducibility, there is a problem that the thickness of the subsequently formed gate oxide film is also uneven.

また、シリコン等の基板にエピタキシャル成長を行な
うい場合においては、前記基板表面は自然酸化膜が存在
することなく清浄な状態でなければ良好なシリコン膜は
得られない。実際には、前処理としてウェット洗浄で有
機汚染物、金属汚染物等を除去した後、エピタキシャル
装置内を高真空とし、塩化水素あるいは水素ガスを流し
ながら加熱して自然酸化膜を一酸化ケイ素にして昇華し
除去する方法が行なわれているが、前記加熱時に装置の
器壁から汚染物が脱離して基板に付着してしまうという
問題があった。
When epitaxial growth is to be performed on a substrate such as silicon, a good silicon film cannot be obtained unless the surface of the substrate is in a clean state without a natural oxide film. Actually, after removing organic contaminants and metal contaminants by wet cleaning as a pretreatment, the inside of the epitaxial apparatus is set to a high vacuum, and heated while flowing hydrogen chloride or hydrogen gas to convert the natural oxide film to silicon monoxide. However, there has been a problem that contaminants are detached from the vessel wall of the apparatus and adhere to the substrate during the heating.

さらに、ヒ素やリン等の不純物を気相、あるいは固相
拡散によりシリコン等の基板内に拡散する場合にも自然
酸化膜が表面に存在しているとそれが障壁となって拡散
の高率が低下するという問題があった。
Furthermore, when impurities such as arsenic and phosphorus are diffused into a substrate such as silicon by vapor phase or solid phase diffusion, if a natural oxide film is present on the surface, it becomes a barrier and the diffusion rate is high. There was a problem of lowering.

前述してきたように、自然酸化膜が限らず半導体素子
の製造プロセスが形成された酸化膜をエッチングあるい
は除去する場合もある。
As described above, the natural oxide film is not limited, and the oxide film on which the semiconductor device manufacturing process is formed may be etched or removed.

例えばDRAMにおいては容量を減少せずに占有面積を小
とするようにシリコン基板に溝を形成し、その表面に酸
化膜を設けるいわゆるトレンチキャパシタの形成技術が
行なわれている。ここで、前記溝の角部での電界集中に
よる絶縁破壊を避けるために、一旦900℃以上の高温で
の酸化(犠牲酸化)した後、酸化膜を剥離して前記溝の
表面荒れをなくし角部を丸めた後、新たな酸化膜を形成
し、前記角部での電界集中が生じないようにするプロセ
スがある。この場合、前記酸化膜の剥離は下地のシリコ
ン基板にダメージを与えることなく、酸化膜のみを選択
的に除去することが望まれる。
For example, in a DRAM, a so-called trench capacitor forming technique of forming a groove in a silicon substrate so as to reduce the occupied area without reducing the capacity and providing an oxide film on the surface thereof has been performed. Here, in order to avoid dielectric breakdown due to electric field concentration at the corners of the groove, once oxidized (sacrificial oxidation) at a high temperature of 900 ° C. or higher, an oxide film is peeled off to eliminate the surface roughness of the groove and reduce the angle. After rounding the portion, there is a process for forming a new oxide film to prevent electric field concentration at the corner. In this case, it is desired that the oxide film is selectively removed without damaging the underlying silicon substrate.

さらにシリコン基板の溝の内壁に電極を形成する場
合、不純物を含むシリコン酸化物をCVD法により堆積し
た後、加熱してシリコン基板内へ前記不純物を拡散させ
る。拡散後前記シリコン酸化物は前記と同様下地のシリ
コン基板にダメージを与えることなく選択的に除去する
ことが望まれる。
Further, when an electrode is formed on the inner wall of the groove of the silicon substrate, a silicon oxide containing an impurity is deposited by a CVD method and then heated to diffuse the impurity into the silicon substrate. After the diffusion, it is desired that the silicon oxide be selectively removed without damaging the underlying silicon substrate as described above.

あるいは、シリコン基板等の基体上に酸化膜を形成
し、前記酸化膜の一部をエッチングしてコンタクトホー
ルあるいはスルーホールを形成する場合などでも前記酸
化膜のエッチングは、コンタクト抵抗が増大しないよう
に下地の基体にダメージを与えないエッチング処理が必
要である。
Alternatively, even when an oxide film is formed on a base such as a silicon substrate and a part of the oxide film is etched to form a contact hole or a through hole, the etching of the oxide film is performed so that the contact resistance does not increase. An etching process that does not damage the underlying substrate is required.

さらにまた、シリコン等の酸化物がプロセスの繰り返
しにより被処理基体の裏面や被処理基体の処理容器の内
壁に付着することがある。例えば、シリコン系の堆積ガ
スを処理容器中に導入する場合等であるが、前述した酸
化物は剥れてゴミとなり、被処理基体に付着し、歩留り
の低下を招くので、被処理基体を処理する前に前記酸化
物を簡易に除去することが望まれている。
Furthermore, an oxide such as silicon may adhere to the back surface of the substrate to be processed or the inner wall of the processing container of the substrate to be processed due to the repetition of the process. For example, when a silicon-based deposition gas is introduced into the processing container, the above-described oxide is peeled off and becomes dust, adheres to the substrate to be processed, and lowers the yield. It is desired that the oxide be easily removed before the removal.

そして、前記酸化物は金属、重金属等が含まれていた
り、長時間大気にさらされていると膜厚が厚くなるの
で、除去されなければならない。この酸化物の除去は通
常フッ酸、あるいはフッ酸フッ化アンモニウム緩衝液の
ウエット処理により行なわれる。これによれば、下地に
ダメージを与えることなく酸化物をシリコン等に対して
選択的に除去することができる。
The oxide must be removed because it contains a metal, heavy metal, or the like, or becomes thick when exposed to the air for a long time. This oxide is usually removed by wet treatment with hydrofluoric acid or an ammonium hydrofluoride buffer. According to this, the oxide can be selectively removed from silicon or the like without damaging the base.

しかしながら、前記ウェット処理では、アスペクト化
(開口径に対する深さの比)の高い溝の内部の酸化物に
対しては、表面張力のため液が溝内部に侵入し難く、除
去できない、あるいは前記ウェット処理により反応生成
物としてケイ酸が生じ、その後の純水リンスが不十分だ
と前記ケイ酸がコロイドとなり、基体表面にシミとなっ
て残ってしまう。あるいは前記フッ酸、フッ酸アンモニ
ウム緩衝液は毒性が強く、その取り扱いが難しい等の問
題がある。さらにまた、ウェット処理後、被処理基板は
通常大気にさらされるため自然酸化膜が再び形成されて
しまう。
However, in the above-mentioned wet treatment, the liquid cannot easily be removed from the oxide inside the groove having a high aspect ratio (ratio of the depth to the opening diameter) due to surface tension, and cannot be removed. Silicic acid is produced as a reaction product by the treatment, and if the subsequent pure water rinsing is insufficient, the silicic acid becomes a colloid and remains as a stain on the substrate surface. Alternatively, the above-mentioned hydrofluoric acid and ammonium hydrofluoride buffer have a problem that they are highly toxic and difficult to handle. Furthermore, after the wet processing, the substrate to be processed is usually exposed to the atmosphere, so that a natural oxide film is formed again.

あるいは前記ウェット処理による問題を解決する方法
として前記酸化物の除去をガスによるドライ処理で行な
うこともある。例えば、シリコン等の酸化物はフッ素原
子によりエッチングが可能である。しかしながら前記フ
ッ素原子はシリコン、金属も同様にエッチングされてし
まい十分な選択性が得られない。
Alternatively, as a method for solving the problem caused by the wet treatment, the oxide may be removed by a dry treatment using a gas. For example, oxides such as silicon can be etched by fluorine atoms. However, the fluorine atoms are also etched in silicon and metals, and sufficient selectivity cannot be obtained.

(発明が解決しようとする課題) 前述したように半導体素子の製造における選択エッチ
ングや選択CVDを行なう場合に、基体上に形成される自
然酸化膜のために選択性が低下したり、素子の抵抗の増
大を招くので前記自然酸化膜の除去が望まれ、また酸
化、エピタキシャル成長、拡散等の製造プロセスにおい
ても自然酸化膜を除去することが望まれている。
(Problems to be Solved by the Invention) As described above, when performing selective etching or selective CVD in the manufacture of a semiconductor device, the selectivity is reduced due to a natural oxide film formed on a substrate, and the resistance of the device is reduced. Therefore, it is desired to remove the natural oxide film, and it is also desired to remove the natural oxide film in manufacturing processes such as oxidation, epitaxial growth, and diffusion.

また、自然酸化膜に限らず、製造プロセスで形成され
た酸化膜をエッチングあるいは除去する場合、下地にダ
メージを与えることなく前記酸化膜のみを選択的にドラ
イ処理で除去することが望まれている。
When etching or removing not only a natural oxide film but also an oxide film formed in a manufacturing process, it is desired to selectively remove only the oxide film by dry treatment without damaging the base. .

本発明は、上記した従来の問題点を解決するもので、
自然酸化膜あるいはその他の酸化物を良好にエッチン
グ、あるいは除去し得る表面処理方法及び装置を提供す
ることを目的とするものである。
The present invention solves the above-mentioned conventional problems,
It is an object of the present invention to provide a surface treatment method and apparatus capable of favorably etching or removing a natural oxide film or another oxide.

〔発明の構成〕[Configuration of the invention]

(課題を解決するための手段) 本発明は前記目的を達成するために、基体表面に酸化
膜が形成された被処理基体を収納する真空排気可能な反
応容器と、前記反応容器内にハロゲン塩ガスを導入する
ガス導入手段を有する表面処理装置(第1の発明)、基
板表面に酸化膜が形成された被処理基体を収納する真空
排気可能な反応容器と、ハロゲン元素を含むガスと塩基
性ガスの少なくとも一方を、前記反応容器とは別の領域
で活性化せしめる活性化手段と、前記活性化手段により
活性化されたガスを前記反応容器内に導入する手段とを
備えた表面処理装置(第2の発明)、 被処理基体を収納し、前記被処理基体にエッチング,
堆積,酸化,拡散,エピタキシャル成長等の加工を施す
処理室と、前記処理室での加工の前又は後に前記被処理
基体表面の酸化膜を除去するためのハロゲン塩ガスを供
給する手段とを備えたことを特徴とする表面処理装置
(第3の発明)、被処理基体を収納し、前記被処理基体
にエッチング,堆積,酸化,拡散,エピタキシャル成長
の加工を施す処理室と、ハロゲン元素を含むガス及び塩
基性ガスの少なくとも一方を、前記反応容器とは別の領
域で活性化せしめる活性化手段と、前記活性化手段によ
り活性化されたガスを前記処理室での加工の前又は後に
前記反応容器内に導入する手段とを備えたことを特徴と
する表面処理装置(第4の発明)、 基体表面に酸化膜が形成された被処理基体を真空排気
可能な反応容器に収納し、ハロゲン塩ガスを前記反応容
器内に供給して前記被処理基体の酸化膜を除去すること
を特徴とする表面処理方法(第5の発明)、 基体表面に酸化膜が形成された被処理体を真空排気可
能な反応容器に収納し、ハロゲン元素を含むガスと塩基
性ガスの少なくとも一方を前記反応容器とは別の領域で
励起してそれらガスを前記反応容器内に供給して前記被
処理基体の酸化膜を除去することを特徴とする表面処理
方法(第6の発明)を提供する。
(Means for Solving the Problems) In order to achieve the above object, the present invention provides a reaction vessel capable of evacuating a substrate to be processed having an oxide film formed on a substrate surface, and a halogen salt in the reaction vessel. A surface treatment apparatus having a gas introduction means for introducing a gas (first invention), a reaction vessel capable of evacuating a substrate to be treated having an oxide film formed on a substrate surface, a gas containing a halogen element and a basic A surface treatment apparatus comprising: an activating means for activating at least one of the gases in a region different from the reaction vessel; and a means for introducing the gas activated by the activating means into the reaction vessel ( Second invention), a substrate to be processed is housed, and the substrate to be processed is etched,
A processing chamber for performing processing such as deposition, oxidation, diffusion, and epitaxial growth; and means for supplying a halogen salt gas for removing an oxide film on the surface of the substrate to be processed before or after processing in the processing chamber. A surface treatment apparatus (third invention), a processing chamber for accommodating a substrate to be processed and processing the substrate to be etched, deposited, oxidized, diffused, and epitaxially grown; Activating means for activating at least one of the basic gases in a region different from the reaction vessel; and activating the gas activated by the activating means in the reaction vessel before or after processing in the processing chamber. A surface treatment apparatus (fourth invention), characterized in that a substrate to be treated having an oxide film formed on the surface of the substrate is housed in a reaction vessel capable of evacuating, and a halogen salt gas is provided. (Fifth invention), wherein an oxide film on the substrate to be processed is removed by feeding the substrate into the reaction vessel to remove the oxide film from the substrate to be processed. In a reaction vessel, at least one of a gas containing a halogen element and a basic gas is excited in a region different from the reaction vessel and the gases are supplied into the reaction vessel to provide an oxide film on the substrate to be processed. (Sixth invention).

また、本発明は基体表面に金属あるいは半導体の酸化
膜が形成された被処理基体を反応容器内に収納し、ハロ
ゲン元素を含む第1のガスと、O,S,Cのいずれかの元素
と水素元素を含む無機化合物の単一ガスもしくは混合ガ
ス、あるいは構成元素がC,H元素のみであるかもしくは
構成元素がO元素を含む有機化合物ガスのいずれかのガ
スを含む第2のガスの少なくとも一方を前記反応容器と
は別の領域で励起して、それらガスを前記反応容器内に
供給して前記基体表面の酸化膜を除去することを特徴と
する表面処理方法(第7の発明)及び、 基体表面に金属あるいは半導体の酸化膜が形成された
被処理基体を反応容器内に収納し、ハロゲン元素を含む
第1のガスと、O,S,Cのいずれかの元素と水素元素を含
む無機化合物の単一ガスもしくは混合ガス、あるいは構
成元素がC,H元素のみであるか、もしくは構成元素にO
元素を含む有機化合物ガス、のいずれかのガスを含む第
2のガスと、O元素もしくはハロゲン元素とS,C,B,N,P,
Asのいずれかの元素を含む第3のガスのうち、少なくと
も1つのガスを前記反応容器とは別の領域で励起し、前
記第1のガス、第2のガス及び第3のガスを前記反応容
器内に供給して前記基体表面の酸化膜を除去することを
特徴とする表面処理方法(第8の発明)を提供する。
In addition, the present invention provides a method in which a substrate to be processed having a metal or semiconductor oxide film formed on the surface of the substrate is housed in a reaction vessel, and a first gas containing a halogen element and any one of O, S, and C elements are used. At least one of a second gas containing any one of a single gas or a mixed gas of an inorganic compound containing a hydrogen element, or an organic compound gas containing a C or H element only as a constituent element or an O element as a constituent element A surface treatment method (seventh invention) characterized in that one of them is excited in a different region from the reaction vessel, and those gases are supplied into the reaction vessel to remove an oxide film on the surface of the substrate (seventh invention). A substrate to be processed having a metal or semiconductor oxide film formed on the surface of the substrate is housed in a reaction vessel and contains a first gas containing a halogen element, and any one of O, S and C and a hydrogen element A single gas or a mixture of inorganic compounds, Is Rui is constituent element C, only H elements, or O as a constituent element
An organic compound gas containing an element, a second gas containing any of the following gases, an O element or a halogen element, and S, C, B, N, P,
At least one gas out of the third gas containing any element of As is excited in a region different from the reaction vessel, and the first gas, the second gas, and the third gas are subjected to the reaction. An eighth aspect of the present invention provides a surface treatment method characterized by removing the oxide film on the surface of the substrate by supplying it into a container.

(作用) 本願は第1乃至第3の発明によればハロゲン元素を含
むガスと塩基性ガスによりハロゲン塩が生成され、これ
が被処理体の酸化膜と反応し、あるいはハロゲン塩ガス
が直接酸化膜と反応して、前記酸化膜を下地にダメージ
を与えることなく高選択にエッチング、除去することが
可能である。
(Function) According to the first to third aspects of the present invention, a halogen salt is generated by a gas containing a halogen element and a basic gas, and this reacts with an oxide film of an object to be processed, or the halogen salt gas is directly converted into an oxide film. , The oxide film can be selectively etched and removed without damaging the underlayer.

また、第4及び第5の発明によれば、ハロゲン元素を
含む第1のガスと、O,S,Cのいずれかの元素と水素元素
を含む無機化合物の単一ガスもしくは混合ガス、あるい
は構成元素がC,Hのみであるかもしくは構成元素にO元
素を含む有機化合物ガス、のいずれかである第2のガ
ス、の少くとも一方を反応容器とは別の領域で励起し、
それらガスを基体表面に金属あるいは半導体の酸化膜が
形成された反応容器内に供給するか、前記第1のガス、
前記第2のガス、及びO元素もしくはハロゲン元素とS,
C,N,P,Asのいずれかの元素を含む第3のガスの少くとも
1つのガスを反応容器とは別の領域で励起し、それらガ
スを前記容器内に供給することにより、前記ガス前記酸
化膜を反応し、前記酸化膜を下地にダメージを与えるこ
となく高選択にエッチング、除去することができる。
According to the fourth and fifth aspects of the present invention, a single gas or a mixed gas of a first gas containing a halogen element and an inorganic compound containing any of O, S, and C and a hydrogen element is used. Exciting at least one of the second gas, in which the element is only C, H or an organic compound gas containing the O element in the constituent element, in a region different from the reaction vessel;
These gases are supplied into a reaction vessel in which a metal or semiconductor oxide film is formed on the substrate surface, or the first gas,
The second gas, and an O element or a halogen element and S,
Exciting at least one gas of the third gas containing any element of C, N, P, As in a region different from the reaction vessel and supplying those gases into the vessel, By reacting the oxide film, the oxide film can be selectively etched and removed without damaging the base.

(実施例) 第1の実施例 まず本願第1の発明について一実施例により説明す
る。第1図は、本願第1の発明の一実施例による表面処
理装置の概略図である。この装置の主要部は、真空容器
11と、この容器内に試料18を載置する試料台12と、ガス
が導入されるガス導入口13a,13bと、ガス導入口13aから
導入されたガスを放電するための石英製の放電管14と、
容器内に導入されたガスを排気するガス排気口15と、排
気されたガスの有毒成分を除去する排ガス処理装置16と
からなる。また、容器11には試料18に光を照射するため
の石英製の窓17及び図示してはないが、真空容器11及び
放電管14を加熱する手段も備えられており、それらの器
壁は100℃以上に保たれている。これらは、本処理によ
り試料18の表面に生ずる薄膜が真空容器11や放電管14の
器壁に形成されるのを防ぐためである。また試料台12に
は、被処理基体18を機械的に固定する手段と、温度を−
10℃〜+200℃の間で一定に保つ手段が備えられてい
る。放電管14には、導波管19を介して周波数2.45GHzの
マイクロ波が印加され、内部に無電極放電が発生してガ
ス導入口13aから導入されたガスを分解する。また、窓1
7の代りに電子銃をとりつけ、電子線を試料表面に照射
することもできる。
(Example) 1st Example First, the 1st invention of this application is demonstrated with one Example. FIG. 1 is a schematic diagram of a surface treatment apparatus according to an embodiment of the first invention of the present application. The main part of this device is a vacuum vessel
11, a sample table 12 for mounting a sample 18 in the container, gas inlets 13a and 13b into which gas is introduced, and a quartz discharge tube for discharging gas introduced from the gas inlet 13a. 14 and
It comprises a gas exhaust port 15 for exhausting gas introduced into the container, and an exhaust gas treatment device 16 for removing toxic components of the exhausted gas. Further, the vessel 11 is provided with a quartz window 17 for irradiating the sample 18 with light and a means for heating the vacuum vessel 11 and the discharge tube 14 (not shown). It is kept above 100 ° C. This is to prevent a thin film generated on the surface of the sample 18 from being formed on the wall of the vacuum vessel 11 or the discharge tube 14 by the present process. The sample stage 12 is provided with a means for mechanically fixing the substrate 18 to be processed and a temperature
Means are provided to keep it constant between 10 ° C and + 200 ° C. A microwave having a frequency of 2.45 GHz is applied to the discharge tube 14 via the waveguide 19, and an electrodeless discharge is generated therein to decompose the gas introduced from the gas inlet 13a. Also, window 1
An electron gun can be attached instead of 7 to irradiate the sample surface with an electron beam.

次に第1図に示した装置を用いた本願第3の発明の一
実施例の酸化シリコン膜のエッチングについて述べる。
第2図は、ハロゲン元素を含むガス、塩基性のガスとし
てそれぞれNF3ガスとNH3ガスの混合ガスを導入口13aか
ら導入したときの、シリコン基板上に酸化シリコン膜が
形成された試料18のシリコン基板と酸化シリコン膜のエ
ッチング速度を、NF3ガスとNH3ガスの混合比を変えて調
べたものである。ここで、真空容器11内の圧力は一定
(0.3Torr)に保ち、NF3ガスとNH3ガスの分圧を変えて
いる。また、試料の温度は約25℃であり、処理時間は10
分である。
Next, the etching of the silicon oxide film of the third embodiment of the present invention using the apparatus shown in FIG. 1 will be described.
FIG. 2 shows a sample 18 in which a silicon oxide film was formed on a silicon substrate when a mixed gas of NF 3 gas and NH 3 gas was introduced as a gas containing a halogen element and a basic gas through an inlet 13a, respectively. The etching rate of the silicon substrate and the silicon oxide film was examined by changing the mixing ratio of NF 3 gas and NH 3 gas. Here, the pressure in the vacuum vessel 11 is kept constant (0.3 Torr), and the partial pressure of the NF 3 gas and the NH 3 gas is changed. The temperature of the sample is about 25 ° C and the processing time is 10
Minutes.

この図からNH3ガスの添加量が少ない場合、すなわち
分圧比で1以下の場合シリコン,酸化シリコンともにエ
ッチングされ、そのエッチング速度は添加量が増すにつ
れて次第に減少する。さらに添加量を増していきNH3
分圧がNF3より大になると、試料18の表面に薄膜が形成
されるようになる。この薄膜は、第2図に示すように、
NH3の分圧が高くなるにつれて厚くなる。また、試料18
を下記薄膜の昇華する温度以上である約100℃以上に加
熱することにより容易に昇華し、除去することができ
る。また、分圧比が1以上ではシリコンは全くエッチン
グされない。他方、酸化シリコンは、NH3の分圧比が高
くなるにつれてエッチング速度が増大する。シリコン等
の他の材料に対して高選択にエッチングすることができ
る。またNH3の分圧が高い場合でも、試料が100℃以上に
保たれると薄膜は形成されなかった。
From this figure, when the added amount of NH 3 gas is small, that is, when the partial pressure ratio is 1 or less, both silicon and silicon oxide are etched, and the etching rate gradually decreases as the added amount increases. If the partial pressure of NH 3 becomes larger than that of NF 3 by further increasing the addition amount, a thin film will be formed on the surface of the sample 18. This thin film, as shown in FIG.
The thickness increases as the partial pressure of NH 3 increases. Sample 18
Can be easily sublimated and removed by heating to about 100 ° C. or higher, which is higher than the temperature at which the following thin film sublimates. When the partial pressure ratio is 1 or more, silicon is not etched at all. On the other hand, the etching rate of silicon oxide increases as the partial pressure ratio of NH 3 increases. Etching can be performed on other materials such as silicon with high selectivity. Even when the partial pressure of NH 3 was high, no thin film was formed when the sample was kept at 100 ° C. or higher.

上記の薄膜は、前述のように加熱による他に、水や有
機溶媒によっても溶解し除去することができる。また、
前記薄膜の成分はXPS成析によりF元素とN元素が、IR
分析によりNH4+,SiF6−が含まれていることが見出さ
れ、ハロゲン元素を含むガスと塩基性ガスよりなる化合
物、あるいはこれらに被処理基板の成分を含む化合物の
NH4Fあるいは(NH42SiF6が構成成分であることがわか
った。このNH4Fは、NF3の放電分解により生じたF原子
とNH3が反応してHFとなり、このHFがNH3と結合して生成
したものであると考えられる。さらに、エッチング機構
は次のように推測される。
The thin film can be dissolved and removed by water or an organic solvent in addition to the heating as described above. Also,
The components of the thin film consisted of F and N elements by XPS
The analysis revealed that NH 4 + and SiF 6 -were contained, and a compound consisting of a gas containing a halogen element and a basic gas, or a compound containing a component of a substrate to be processed in these compounds was used.
It was found that NH 4 F or (NH 4 ) 2 SiF 6 was a constituent. This NH 4 F is considered to be generated by the reaction of NH 3 with F atoms generated by the discharge decomposition of NF 3 to form HF, and this HF is combined with NH 3 . Further, the etching mechanism is presumed as follows.

NH4F分子の電荷分布は一様ではなく、電気陰性度の高
いF原子は負電荷を、NH4は正電極を帯びている。同様
にO原子はSi原子よりも電気陰性度が高いため、SiO2
中では、Oは負電荷を、Siは正電極を帯びている。従っ
てNH4FがSiO2に近付くと、クローン力によりFはSiに、
NH4はOに引付けられ、NH4Fは解離してSiO2と反応し、S
iF4とH2Oとなる。H2Oは気相中へ脱離するが、SiF4はNH9
Fと結合し、(NH42SiF6となって薄膜に取込まれる。
加熱すると(NH42SiF6は分解し、揮発性のSiF4,NH3,H
Fとなって除去される。全体の反応式は次式のようにな
る: F+NH3→HF+NH2 HF+NH3→NH4F 6NH4F+SiO2→(NH42SiF6+2H2O (NH42SiF→NH3+HF+SiF4 金属酸化物も上記とほぼ同様の機構に基づいて除去で
きる。
The charge distribution of NH 4 F molecules is not uniform, F atoms having high electronegativity have negative charges, and NH 4 has a positive electrode. Similarly, since O atoms have higher electronegativity than Si atoms, in SiO 2 , O has a negative charge and Si has a positive electrode. Therefore, when NH 4 F approaches SiO 2 , F becomes Si due to clonal force,
NH 4 is attracted to O, NH 4 F dissociates and reacts with SiO 2 ,
iF 4 and H 2 O. H 2 O is desorbed into the gas phase, while SiF 4 is NH 9
F is combined with F to form (NH 4 ) 2 SiF 6 and incorporated into the thin film.
When heated, (NH 4 ) 2 SiF 6 decomposes to volatile SiF 4 , NH 3 , H
Removed as F. The overall reaction equation is as follows: F + NH 3 → HF + NH 2 HF + NH 3 → NH 4 F 6NH 4 F + SiO 2 → (NH 4 ) 2 SiF 6 + 2H 2 O (NH 4 ) 2 SiF → NH 3 + HF + SiF 4 metal Oxides can also be removed based on a mechanism similar to that described above.

例えばアルミナ(Al2l3)のハロゲン元素を含むガス
と塩基性ガスよりなる化合物の例えばNH4Clによって次
の反応を生じエッチングされる。
For example, the following reaction is caused by a compound such as NH 4 Cl, which is a compound of a gas containing a halogen element of alumina (Al 2 l 3 ) and a basic gas, and is etched.

Al3O4+8NH4Cl→2NH4AlCl4+3H2O↑+6NH3→NH4AlCl4→AlCl3↑+NH3↑+HCl↑ 上の実施例ではハロゲン元素を含むガスとして、NF3
ガスの放電分解によりフッ素原子を生じさせ、塩基性ガ
スとしてNH3と反応させたが、他のハロゲン元素を含む
ガスと塩基性ガスとの組み合せでもよく放電以外の手
段、例えば光照射,加熱,荷電粒子ビーム照射,高性種
との反応等を用いてもよい。ハロゲン元素を含むガスを
活性化し、生じたハロゲン元素を含む活性種として塩素
性ガスとを反応させたり、自発的に解離してハロゲン元
素を含む活性種を生じるガス、塩基性ガスを反応させた
り、あるいはNH3ガスなどの塩基性ガスを活性化してハ
ロゲン元素を含むガスと反応させてもシリコンの酸化膜
や金属酸化物等を除去することができる。また、わざわ
ざ真空容器内で気相反応を起して生成させなくても、ハ
ロゲン塩のガスや例えば塩の蒸気のH2Oの混合ガスやハ
ロゲン塩水容液の蒸気を容器内に導入し、全く同様にシ
リコンや金属の酸化物のエッチングや除去を行うことが
できる。
Al 3 O 4 + 8NH 4 as a gas containing a halogen element in Cl → 2NH 4 AlCl 4 + 3H 2 O ↑ + 6NH 3 → NH 4 AlCl 4 → AlCl 3 ↑ + NH 3 ↑ + HCl ↑ above example, NF 3
Although fluorine atoms were generated by the discharge decomposition of the gas and reacted with NH 3 as a basic gas, a combination of a gas containing another halogen element and a basic gas may be used, and means other than discharge, such as light irradiation, heating, Irradiation with a charged particle beam, reaction with a highly aggressive species, or the like may be used. Activating a gas containing a halogen element and reacting it with a chlorinated gas as an active species containing the generated halogen element, or reacting a gas or a basic gas that spontaneously dissociates to produce an active species containing a halogen element Alternatively, even if a basic gas such as an NH 3 gas is activated and reacted with a gas containing a halogen element, a silicon oxide film, a metal oxide, and the like can be removed. In addition, even if it is not necessary to cause a gas-phase reaction in the vacuum vessel to generate the gas, a halogen salt gas or, for example, a mixed gas of H 2 O of a salt vapor or a vapor of a halogen salt aqueous solution is introduced into the vessel, The etching and removal of silicon and metal oxides can be performed in exactly the same manner.

また前記薄膜は処理時間を増大すれば厚く形成される
が、SiO2のエッチング量は第15図に示すように処理時間
に比例して増大するわけではない。すなわち第15図に示
すように処理時間が長くなるにつれてエッチング量は次
第に飽和し、エッチング速度は減少する。これは、前記
薄膜が厚くなるとエッチング生成物の脱離が難しくな
り、エッチングが抑制されるためと考えられる。従って
単純に処理時間を長くするのではなく、薄膜形成と除去
を繰返すことにより効率のよいエッチングが可能であ
る。実際、第16図に示すように、第1図の装置を用い、
薄膜の形成(試料を室温に保ったままNF3ガスとNH3の混
合ガス(NH3を0.5Torr、NH3を0.25Torr)を放電し10分
間処理)と薄膜の除去(真空中で試料を120℃に加熱)
を交互に行うと、処理回数に比例して酸化シリコンがエ
ッチングされた。
Further, the thin film is formed thicker as the processing time is increased, but the amount of etching of SiO 2 does not increase in proportion to the processing time as shown in FIG. That is, as shown in FIG. 15, as the processing time becomes longer, the etching amount gradually becomes saturated, and the etching rate decreases. It is considered that this is because when the thin film becomes thick, it becomes difficult to remove the etching product and the etching is suppressed. Therefore, efficient etching is possible by simply repeating the formation and removal of the thin film, instead of simply increasing the processing time. In fact, as shown in FIG. 16, using the apparatus of FIG.
Forming a thin film of sample (0.5 Torr, a mixed gas (NH 3 remains NF 3 gas and NH 3 were kept at room temperature of the sample, the NH 3 0.25 Torr) discharged 10 min handling) and removal of the thin film (in a vacuum Heat to 120 ° C)
Were alternately performed, the silicon oxide was etched in proportion to the number of treatments.

また、エッチングの効率を上げる他の手段として、薄
膜を形成した後、フッ素元素を含むガスと水素元素を含
むガスを反応させ、生成ガスを真空容器中に流す方法が
考えられる。例えばNH3やMF3に5倍添加した混合ガスを
放電し、薄膜を形成して10分間処理したところは、SiO2
は約300Åエッチングされた。これに対し上記処理を行
った後、薄膜を除去せずにH2やNF3に10倍添加したガス
を放電し5分間処理したところ、SiO2は約600Åエッチ
ングされた。これは、NF3/H2ガスの放電により生じたHF
ガスが薄膜を通って酸化シリコンをエッチングしたため
と考えられる。他方、薄膜をあらかじめ形成していない
とNF3/H2ガスを放電してもエッチングは起こらない。従
って、薄膜には表面でのHFの濃度を高め、反応性を上げ
る働きがあるものと考えられる。
As another means for improving the etching efficiency, a method of forming a thin film, reacting a gas containing a fluorine element with a gas containing a hydrogen element, and flowing the generated gas into a vacuum vessel is considered. For example discharge the NH 3 into and MF 3 5 times the added gas mixture, was treated to form a thin film 10 minutes, SiO 2
Was etched about 300 mm. After the above processing contrast, was treated to discharge 10 times gas added into H 2 and NF 3 without removing the thin film 5 min, SiO 2 was about 600Å etching. This is due to the HF generated by the discharge of NF 3 / H 2 gas.
It is considered that the gas etched the silicon oxide through the thin film. On the other hand, if the NF 3 / H 2 gas is discharged unless a thin film is formed in advance, etching does not occur. Therefore, it is considered that the thin film has a function of increasing the concentration of HF on the surface and increasing the reactivity.

上述のように、酸化シリコンや金属酸化物はその特定
領域あるいは特定方向のみに薄膜を形成したり、薄膜の
形成と除去を行なうことにより、特定領域のみのエッチ
ングや方向エッチングが可能である。例えば、第17図
(a)に示すような表面に酸化シリコン膜81が形成した
試料を、第1図に示した真空容器11内の試料台12上に載
置し、試料温度を10℃に保ったまま、NF3/NH3ガス([N
F3]/[NH3]=1/5)を、ガス導入口13bから放電分解
せずに真空容器11内に導入し、同時に試料18の特定領域
のみにArFレーザー光(波長193nm)を照射すると、第17
図(b)に示すようにレーザ光82が照射された領域の
み、酸化シリコン膜81が垂直にエッチングされる。レー
ザー光82が照射された領域のみにエッチングが生じるの
は、その領域ではNH4ガスの光分解によりF原子が生
じ、このF原子がNH3と反応してエッチング種のハロゲ
ン塩が形成されるためである。垂直にエッチングされる
のは、試料温度が10℃に保たれているため側壁では薄膜
83の形成のみが起こり、酸化シリコンはわずかしかエッ
チングされないのに対し、光が照射される底面では薄膜
が形成すると同時に光照射により薄膜の一部は除去され
るため、絶えず薄膜の形成と除去が起こり効率よくエッ
チングが進むことに起因していると考えられる。
As described above, silicon oxide or metal oxide can be etched or directional etched only in a specific region by forming a thin film in a specific region or a specific direction or by forming and removing a thin film. For example, a sample having a silicon oxide film 81 formed on the surface as shown in FIG. 17 (a) is placed on a sample table 12 in a vacuum vessel 11 shown in FIG. Keep the NF 3 / NH 3 gas ([N
F 3 ] / [NH 3 ] = 1/5) is introduced from the gas inlet 13 b into the vacuum vessel 11 without discharge decomposition, and at the same time, only a specific region of the sample 18 is irradiated with ArF laser light (wavelength 193 nm). Then, the 17th
As shown in FIG. 2B, the silicon oxide film 81 is vertically etched only in the region irradiated with the laser light 82. The reason that etching occurs only in the region irradiated with the laser light 82 is that in the region, F atoms are generated by photolysis of NH 4 gas, and the F atoms react with NH 3 to form a halogen salt of an etching species. That's why. The vertical etching is due to the fact that the sample temperature is kept at 10 ° C,
Only the formation of 83 occurs and only a small amount of silicon oxide is etched, whereas a thin film is formed on the bottom surface irradiated with light and at the same time a part of the thin film is removed by light irradiation. This is considered to be caused by the fact that the etching proceeds efficiently.

また、被処理基体の表面を励起するように前記基体の
特定領域に光あるいは荷電粒子ビームあるいは中性粒子
ビームを照射することにより、前記特定領域以外の酸化
膜を除去することもできる。
Further, by irradiating a specific region of the substrate with light, a charged particle beam, or a neutral particle beam so as to excite the surface of the substrate to be processed, an oxide film other than the specific region can be removed.

また、塩基性ガスは腐食性、毒性、爆発性等を有して
いるものが多い。従って本発明では塩基性ガス、そのも
のを外部から容器内に導入することは必ずしも必要では
なく、塩基性ガスの構成成分元素を含むガスを容器内、
あるいはそれと別の領域で活性化、反応させて形成して
もよい。例えばNH3ガスであれば比較的安全性の高いN2,
H2ガスを用い、両者の混合ガスの放電等により形成する
こともできる。
Further, many of the basic gases have corrosiveness, toxicity, explosiveness and the like. Therefore, in the present invention, it is not always necessary to introduce the basic gas itself from the outside into the container, and a gas containing a component element of the basic gas is supplied into the container.
Alternatively, it may be formed by activating and reacting in another region. For example, if it is NH 3 gas, relatively safe N 2 ,
It can also be formed by using H 2 gas and discharging a mixed gas of both.

また、NH4Fのようにガス化すると分解しやすいハロゲ
ン塩などは、例えばNH3とHFの混合ガスを断熱膨張等に
よる凝縮し、NH4Fクラスターを形成して試料に供給して
もよい。
Moreover, such degradation tends halide to gasification as NH 4 F, for example a mixed gas of NH 3 and HF condensed by adiabatic expansion and the like, may be supplied to the sample to form a NH 4 F clusters .

第2の実施例 次に本願第2の及び第3の発明による一実施例につい
て説明する。
Second Embodiment Next, an embodiment according to the second and third inventions of the present application will be described.

第3図に示すのは本発明により実施例装置を説明する
ための概略図である。この装置は、半導体素子の製造に
用いられる酸化装置に前処理として酸化膜をエッチング
あるいは除去するための手段を具備した表面処理装置で
ある。図中300は被処理基体であるシリコンウエハに酸
化の加工を施す処理室である反応容器であり、反応容器
300中に多数枚の被処理基体301が試料ホルダー302上に
縦置または設置されるものとなっている。また、被処理
基体301を加熱するための手段としてR・Fコイルはヒ
ータ303が反応容器300の外に具備されている。さらに反
応容器300内に酸化、拡散、結晶成長等の加工を行うた
めのプロセスガスを導入するためのガス導入口304があ
りまた、反応容器300内のガスを排気し真空引きをする
ためのガス排気口305が具備されている。更に、反応容
器300には石英製放電管306が接続されており、放電管30
6はマイクロ波導波管307と結合し、マイクロ波電源308
からのマイクロ波により、前記放電管306内で放電が生
起できるようになっている。また、石英製放電管306の
他端からは前記被処理基板301の酸化膜をエッチングあ
るいは除去するためのガスがガス導入口309導入され、
更に、反応容器のガス導入口310からは、塩基性ガスが
具備されている。ここでガス導入口310より導入される
塩基性ガスは、被処理基体301全体にガスが供給され、
均一に反応を起こすように多数の開孔を有するガスの分
散器311により分散され、反応容器300内に導入される。
311は、反応容器と放電部を分離するバルブである。
FIG. 3 is a schematic view for explaining an apparatus according to an embodiment of the present invention. This apparatus is a surface treatment apparatus provided with a means for etching or removing an oxide film as pretreatment in an oxidation apparatus used for manufacturing a semiconductor device. In the figure, reference numeral 300 denotes a reaction chamber which is a processing chamber for performing an oxidation process on a silicon wafer as a substrate to be processed.
A large number of substrates 301 to be processed are vertically placed or placed on a sample holder 302 in the 300. The R / F coil is provided with a heater 303 outside the reaction vessel 300 as means for heating the substrate 301 to be processed. Further, there is a gas inlet 304 for introducing a process gas for performing processing such as oxidation, diffusion, crystal growth, etc. in the reaction vessel 300, and a gas for evacuating and evacuating the gas in the reaction vessel 300. An exhaust port 305 is provided. Further, a quartz discharge tube 306 is connected to the reaction vessel 300, and the discharge tube 30
6 is coupled with a microwave waveguide 307, and a microwave power source 308
A discharge can be generated in the discharge tube 306 by the microwave from the discharge tube. From the other end of the quartz discharge tube 306, a gas for etching or removing the oxide film of the substrate 301 is introduced into the gas inlet 309,
Further, a basic gas is provided from the gas inlet 310 of the reaction vessel. Here, the basic gas introduced from the gas inlet 310 is supplied to the entire substrate 301 to be treated,
The gas is dispersed by a gas disperser 311 having a large number of openings so as to cause a uniform reaction, and is introduced into the reaction vessel 300.
Reference numeral 311 denotes a valve for separating the reaction vessel and the discharge section.

前記本願第2の発明の実施例装置を用いて被処理基体
301シリコンの単結晶基体に酸化を行う本願第3の発明
の実施例方法について述べる。まず反応容器300内に真
空引きし、ガス導入口309から、NF3ガスとNH3ガスをそ
れぞれ流量が30sccm,200sccmとなるように導入し、反応
容器300内の圧力を0,5Torrに保持する。この場合反応容
器300内にはシリコン単結晶基体が設置されており、そ
の表面には数十Å自然酸化膜が形成されている。ここで
NF3とNF3ガスに対してマイクロ波放電を10分間行うこと
により、前記基体上の自然酸化膜は完全に除去される。
ここで、被処理基体300上には薄膜が形成されている
が、この薄膜はその後の熱処理により除去される。この
工程は被処理基体を室温に保ったまま行った。次に、バ
ルブ311を閉じてNF3,NH3ガスの供給をやめ、ガス導入口
304からAr等の不活性ガスを流しながら反応容器300内に
自然酸化膜の原因となる酸素や水等が入らないように前
記不活性ガスで反応容器内を大気圧にまでもどす。次に
被処理基体301の温度を1000℃まで上昇させる。この時
被処理基体300上の薄膜は除去され、シリコンの清浄表
面が露出する。この状態で前記ガス導入口304から導入
するガスをArから、O2+H2O,O2+HCl等の酸化ガスに変
え、これによりシリコン基体の表面と通常の方法により
酸化する。
Substrate to be processed using the apparatus according to the second embodiment of the present invention.
A method for oxidizing a 301 silicon single crystal substrate according to the third embodiment of the present invention will be described. First, a vacuum is drawn into the reaction vessel 300, and NF 3 gas and NH 3 gas are introduced from the gas inlet 309 so that the flow rates thereof become 30 sccm and 200 sccm, respectively, and the pressure in the reaction vessel 300 is maintained at 0.5 Torr. . In this case, a silicon single crystal substrate is provided in the reaction vessel 300, and a tens of thousands of native oxide film is formed on the surface thereof. here
By performing microwave discharge on NF 3 and NF 3 gas for 10 minutes, the natural oxide film on the base is completely removed.
Here, a thin film is formed on the substrate to be processed 300, and the thin film is removed by a subsequent heat treatment. This step was performed while the substrate to be processed was kept at room temperature. Next, close the valve 311 to stop supplying NF 3 and NH 3 gas, and
While flowing an inert gas such as Ar from 304, the inside of the reaction vessel is returned to atmospheric pressure with the inert gas so as to prevent oxygen, water, or the like that causes a natural oxide film from entering the reaction vessel 300. Next, the temperature of the substrate 301 is increased to 1000 ° C. At this time, the thin film on the substrate to be processed 300 is removed, and the clean surface of silicon is exposed. In this state, the gas introduced from the gas introduction port 304 is changed from Ar to an oxidizing gas such as O 2 + H 2 O, O 2 + HCl, thereby oxidizing the surface of the silicon substrate with the usual method.

以上の方法により、形成されたシリコン基体上のシリ
コン酸化膜は後述する第3の実施例の熱酸化の場合と同
様に自然酸化膜をとりこんでいないので極めて良質であ
り耐圧、リーク電流等の特性が、上記表面処理を行わず
に酸化した場合に比較し、格段に向上する。
The silicon oxide film formed on the silicon substrate by the above method does not incorporate a natural oxide film as in the case of the thermal oxidation of the third embodiment described later, so that the silicon oxide film has extremely high quality and characteristics such as withstand voltage and leak current. However, as compared with the case where the surface is not oxidized without performing the surface treatment, it is significantly improved.

前記実施例において、自然酸化膜を除去するガスとし
てNF3とNH3を同時に放電させ反応容器300に導入した
が、NF3ガスだけを放電させ、NH3はガス導入口310から
ガス分散器311で分散させて導入してもよい。
In the above embodiment, NF 3 and NH 3 were simultaneously discharged as a gas for removing a natural oxide film and introduced into the reaction vessel 300.However, only NF 3 gas was discharged, and NH 3 was supplied from the gas inlet 310 to the gas disperser 311. May be dispersed and introduced.

さらに他の変形例として、ガス導入口310と放電管306
を逆にして、放電により活性化されたラジカルが被処理
基体301全体に供給するようにすれば、より均一な自然
酸化膜の除去が可能である。
As still another modification, the gas inlet 310 and the discharge tube 306
If the radicals activated by the discharge are supplied to the entire substrate 301 to be processed, the natural oxide film can be more uniformly removed.

また、前記実施例装置はガスを選択することにより酸
化だけでなくシリコンの結晶成長や拡散等の加工を行な
え、前記加工の前処理として自然酸化膜の除去が同様の
方法でできる。
Further, the apparatus of the embodiment can perform not only oxidation but also processing such as crystal growth and diffusion of silicon by selecting a gas, and a natural oxide film can be removed by a similar method as a pretreatment of the processing.

また、この実施例ではガスの励起にマイクロ波放電を
用いたが、熱的,荷電粒子ビーム,不活性ガスラジカル
との反応,光励起等の他の方法を用いても可能である。
In this embodiment, the microwave discharge is used to excite the gas. However, other methods such as thermal, charged particle beam, reaction with an inert gas radical, and photoexcitation may be used.

また、自然酸化膜を除去するガスは前記第1の実施例
と同様に、他のハロゲン元素を含むガスは塩基性ガスの
組み合せであってもよい。
Further, as in the first embodiment, the gas for removing the natural oxide film may be a combination of a gas containing another halogen element and a basic gas.

第3の実施例 次に本願第2の発明による他の表面処理装置の実施例
を用いて、シリコンの熱酸化を行った例を述べる。
Third Embodiment Next, an example in which thermal oxidation of silicon is performed using another embodiment of the surface treatment apparatus according to the second invention of the present application will be described.

第4図は、本実施例で使用した酸化装置の概略構成図
である。本装置は、前処理室400と、熱酸化を行う主処
理室401から構成されている。前処理室400と主処理室40
1はゲート弁402により仕切られており、それぞれ独立に
真空排気することができる。また前記主処理室41は、不
純物元素を含むシリコン酸化膜の堆積も可能となってい
る。
FIG. 4 is a schematic configuration diagram of an oxidizing apparatus used in this embodiment. This apparatus includes a pre-processing chamber 400 and a main processing chamber 401 for performing thermal oxidation. Pretreatment room 400 and main treatment room 40
1 is partitioned by a gate valve 402, and can be evacuated independently of each other. The main processing chamber 41 can also deposit a silicon oxide film containing an impurity element.

前処理室400には石英製の放電管400が取付けられてお
り、マイクロ波電源404から導波管405を介してマイクロ
波を印加することにより、ガス導入口406から導入され
たガスを活性化し、生じたラジカルを前処理室400内へ
輸送できるようになっている。また、前処理室400には
別にガス導入口407が取付けられており、生ガスも導入
できるようになっている。室内に導入されたガスは、ガ
ス排気口408から排気される。
A quartz discharge tube 400 is attached to the pretreatment chamber 400, and the microwave introduced from a gas inlet 406 is activated by applying a microwave from a microwave power supply 404 via a waveguide 405. The generated radicals can be transported into the pretreatment chamber 400. Further, a gas inlet 407 is separately attached to the pretreatment chamber 400 so that raw gas can be introduced. The gas introduced into the room is exhausted from the gas exhaust port 408.

また、被処理基体409は前処理室400内の石英製のボー
ト410に載置され、搬送機構411によって前処理室400と
主処理室401の間をゲート弁402を介して、大気に触れる
ことなく往復できるようになっている。
The substrate to be processed 409 is placed on a quartz boat 410 in the pre-processing chamber 400, and is exposed to the atmosphere between the pre-processing chamber 400 and the main processing chamber 401 by the transfer mechanism 411 through the gate valve 402. It is possible to go back and forth without.

またさらに主処理室401には、ガス導入口412,ガス排
気口413,ヒーター414が設けられている。
Further, the main processing chamber 401 is provided with a gas inlet 412, a gas outlet 413, and a heater 414.

次に第4図に示した装置を用いて、本願第3の実施例
方法であるシリコンの熱酸化を行った例について第5図
の断面図により説明する。まず被処理基体409としてホ
ウ素を添加したP型、抵抗率10Ω/cmのシリコン(100)
ウエハ50を用い、予め酸処理やアルカリ処理により有機
物汚染や重金属汚染を、希フッ酸処置により自然酸化膜
を除去した。しかしながら、通常その後、被処理基体は
水洗処理されたり、大気にさらされたりするため、前記
基体表面には約10Å程度の自然酸化膜51が存在している
(第5図(a))。この被処理基体を前処理室400のボ
ート410上に載置し、真空排気した。次にガス導入口406
からNF3ガス10sccm、407からNH3ガス100sccmを導入し、
放電管403を放電させた。ここで被処理基体の表面には
薄膜52が形成された(第5図(b))。ガスの供給を止
め、前処理室400を真空排気した後、ゲート弁402を開
き、被処理基体409を主処理室401へ搬送した。
Next, an example in which the thermal oxidation of silicon according to the method of the third embodiment of the present invention is performed using the apparatus shown in FIG. 4 will be described with reference to the sectional view of FIG. First, as a substrate to be processed 409, silicon (100) of a P type doped with boron and having a resistivity of 10Ω / cm.
Using the wafer 50, organic matter contamination and heavy metal contamination were removed in advance by acid treatment or alkali treatment, and a natural oxide film was removed by dilute hydrofluoric acid treatment. However, since the substrate to be processed is usually washed with water or exposed to the atmosphere, there is a natural oxide film 51 of about 10 ° on the surface of the substrate (FIG. 5 (a)). The substrate to be processed was placed on the boat 410 in the pretreatment chamber 400 and evacuated. Next, gas inlet 406
10 sccm of NF 3 gas, and 100 sccm of NH 3 gas from 407,
The discharge tube 403 was discharged. Here, a thin film 52 was formed on the surface of the substrate to be processed (FIG. 5B). After the supply of gas was stopped and the pretreatment chamber 400 was evacuated, the gate valve 402 was opened, and the substrate to be treated 409 was transferred to the main treatment chamber 401.

主処理室401には雰囲気ガスとしてArガスが流されて
おり、室の中心部の温度は800℃に保持されている。被
処理基体409を挿入していくと、基板温度の上昇と共に
基体表面の前記薄膜52は昇華し、30分かけて主処理室の
中心部まで搬送する間に表面の自然酸化膜とともに除去
され、清浄なシリコン面が得られた(第5図(c))。
Ar gas is flowed into the main processing chamber 401 as an atmospheric gas, and the temperature at the center of the chamber is maintained at 800 ° C. As the substrate to be processed 409 is inserted, the thin film 52 on the surface of the substrate sublimates as the substrate temperature rises, and is removed together with the natural oxide film on the surface while being transported to the center of the main processing chamber over 30 minutes. A clean silicon surface was obtained (FIG. 5 (c)).

次にArガスを酸素ガスに切替え、60分間保持すること
により、表面に約50Åの酸化シリコン膜53を形成した
(第5図(d))。さらにこの酸化シリコン膜53の特性
を評価するために、リン添加多結晶シリコン膜54を堆積
しパターニングして、MOSキャパシタを作製した(第5
図(d))。
Next, the Ar gas was switched to oxygen gas and held for 60 minutes to form a silicon oxide film 53 of about 50 ° on the surface (FIG. 5 (d)). Further, in order to evaluate the characteristics of the silicon oxide film 53, a phosphorus-doped polycrystalline silicon film 54 was deposited and patterned to fabricate a MOS capacitor (fifth embodiment).
Figure (d).

このMOSキャパシタを用いて、得られた酸化膜の絶縁
耐圧を調べた結果について説明する。第6図は、面積10
mm2のキャパシタについて、印加した電界に対する絶縁
破壊の頻度の関係を示す特性図である。ここでは1cm2
り10-6Aの電流が流れた時点を絶縁破壊とみなす。従来
の希フッ酸等のウェットの処理のみを行った後、酸化膜
を形成した試料Aでは、1MV/cm2以下の比較的低い電界
で、全体の約1/4が破壊されてしまう。これに対し、前
述した処理を行なった後に酸化膜を形成した試料Bでは
低電界の破壊頻度は3%程度にすぎない。
The result of examining the dielectric strength of the obtained oxide film using this MOS capacitor will be described. Figure 6 shows the area 10
FIG. 4 is a characteristic diagram showing a relationship between an applied electric field and a frequency of dielectric breakdown for a capacitor of mm 2 . Here, the point in time when a current of 10 −6 A flows per cm 2 is regarded as dielectric breakdown. In the sample A on which an oxide film is formed after only performing a conventional wet treatment of diluted hydrofluoric acid or the like, about 1/4 of the whole is destroyed by a relatively low electric field of 1 MV / cm 2 or less. On the other hand, in Sample B in which an oxide film is formed after performing the above-described processing, the frequency of destruction of a low electric field is only about 3%.

この結果から本発明の実施例装置によって自然酸化膜
を除去した後、酸化膜を形成することによって、酸化膜
の均一性が向上し、低電界での絶縁破壊の原因となる欠
陥が減少したことがわかる。
From this result, it was found that the uniformity of the oxide film was improved by forming the oxide film after the natural oxide film was removed by the apparatus according to the embodiment of the present invention, and the defects causing dielectric breakdown in a low electric field were reduced. I understand.

また10MV以上の高電界領域に着目すると、ウェット処
理の場合は最大耐圧が14MV/cm2であるのに対し、本処理
を行った場合は15MV/cm2と、1MV/cm2耐圧の向上がみら
れる。この結果は酸化膜の膜質も向上していることを示
すものであるが、これは自然酸化膜が除去されたことの
みならず、金属汚染が減少したことにも帰因している。
Also when focusing on the high field region above 10 MV, whereas the maximum breakdown voltage in the case of the wet process is 14 MV / cm 2, when the present process was done with 15 MV / cm 2, the improvement of 1 MV / cm 2 breakdown voltage Be looked at. This result indicates that the quality of the oxide film is also improved, which is attributable not only to the removal of the native oxide film but also to the reduction in metal contamination.

実際、前記実施例の処理を行うことにより、Na,K,Fe,
Cuなどのアルカリ金属や重金属の汚染が約50%〜約10%
に減少していることが確認された。これは、自然酸化膜
の除去の際、前記自然酸化膜の中に含まれていた金属や
重金属が同時に除去されることを示している。
In fact, by performing the processing of the above embodiment, Na, K, Fe,
Alkali metal and heavy metal contamination such as Cu is about 50% to about 10%
Was confirmed to have decreased. This indicates that when the natural oxide film is removed, the metals and heavy metals contained in the natural oxide film are simultaneously removed.

尚、この実施例では、単結晶シリコン上に熱酸化膜を
形成する例について述べたが、本処理はその他の金属や
半導体表面に酸化膜を形成する場合にも有効である。特
に単結晶シリコンとともにLSIの構成材料として重要な
リン等の不純物添加多結晶シリコンの場合、自然酸化膜
の厚みが20〜30Åと大きい。従って本発明の処理による
効果は単結晶シリコン以上に顕著である。
In this embodiment, an example in which a thermal oxide film is formed on single-crystal silicon has been described. However, this process is also effective when an oxide film is formed on the surface of another metal or semiconductor. In particular, in the case of polycrystalline silicon doped with impurities such as phosphorus which is important as a constituent material of the LSI together with single-crystal silicon, the thickness of the natural oxide film is as large as 20 to 30 mm. Therefore, the effect of the treatment of the present invention is more remarkable than single crystal silicon.

またこの実施例ではシリコン表面の金属汚染は自然酸
化膜と共に除去したが、シリコン表面を犠牲的に一旦酸
化したり、NH3より強い塩基性の(CH32NHなどのガス
を用いると、シリコン内部に存在する金属汚染もでき、
膜質を一層向上せしめることができる。
In this embodiment, the metal contamination on the silicon surface is removed together with the natural oxide film. However, if the silicon surface is sacrificed once or a gas such as basic (CH 3 ) 2 NH stronger than NH 3 is used, Metal contamination existing inside silicon can also occur,
The film quality can be further improved.

第4の実施例 次に第4図に示した装置を用いて、本願第3の発明の
実施例方法である不純物拡散を行った例について説明す
る。用いた被処理基体は前述の酸化をおこなった例と同
じであり、やはりウェット処理を行った後、前処理室40
0のボート410上に載置し、NF3ガスとNH3ガスを用いて同
様の処理を施した。
Fourth Embodiment Next, an example in which impurity diffusion, which is a method according to the third embodiment of the present invention, is performed using the apparatus shown in FIG. 4 will be described. The substrate to be used is the same as that in the example in which the above-described oxidation was performed.
The sample was placed on a boat 410 of No. 0 and subjected to the same treatment using NF 3 gas and NH 3 gas.

次に前処理室400を真空排気した後、ゲート弁402を開
き、搬送機構411により被処理基体409を主処理室401に
導入した。このとき、主処理室401はヒータ414により加
熱されて700℃に保持されており、被処理基体409表面に
形成されていた薄膜は昇華し、除去された。この時、基
体表面には自然酸化膜は形成されていなかった。
Next, after evacuating the pretreatment chamber 400, the gate valve 402 was opened, and the substrate to be treated 409 was introduced into the main treatment chamber 401 by the transfer mechanism 411. At this time, the main processing chamber 401 was heated by the heater 414 and kept at 700 ° C., and the thin film formed on the surface of the substrate to be processed 409 was sublimated and removed. At this time, no natural oxide film was formed on the substrate surface.

次に、搬送機構411を主処理室より引抜き、ゲート弁4
02を閉じた後、主処理室401内にテトラエトキシシラン
(Si(OCH2CH3450sccm、トリエトキシアルシン(As
(OCH2CH3)5sccmを導入し、圧力を1Torrに設定し
て30分間保持した。この結果、第7図(a)の断面図に
示されるように基体70表面上にAsを含んだシリコン酸化
膜71が約2000Å堆積した。この後、上記ガスに変えてN2
ガスを導入し、被処理基体70を約1000℃まで加熱して60
分間保持した。この処理によりシリコン基体中にAsが均
一に拡散され、その表面には深さ0.15μmのn型の伝導
性を示す不純物領域72が形成された。
Next, the transfer mechanism 411 is pulled out of the main processing chamber, and the gate valve 4
After closing 02, tetraethoxysilane (Si (OCH 2 CH 3 ) 4 50sccm, triethoxyarsine (As
(OCH 2 CH 3 ) 3 ) 5 sccm was introduced, the pressure was set to 1 Torr, and the mixture was held for 30 minutes. As a result, as shown in the cross-sectional view of FIG. 7A, a silicon oxide film 71 containing As was deposited on the surface of the substrate 70 by about 2000.degree. Then, instead of the above gas, N 2
Gas is introduced and the substrate to be treated 70 is heated to about 1000 ° C.
Hold for minutes. As a result of this treatment, As was diffused uniformly in the silicon substrate, and an n-type conductive impurity region 72 having a depth of 0.15 μm was formed on the surface thereof.

比較例として前記実施例のように自然酸化膜を除去し
ないで、拡散を行った場合の断面図を第7図に示す。こ
の図からわかるように基体70上には自然酸化膜73が形成
されているため、Asを含むシリコン酸化膜71からの拡散
は、前記自然酸化膜73が障壁となって阻害されたり、あ
るいはAsが偏析する。従って、n型の伝導性領域72aは
前述の本発明の実施例と異なり濃度が低く、分布も不均
一であった。
As a comparative example, FIG. 7 shows a cross-sectional view in the case where diffusion is performed without removing the natural oxide film as in the above-described embodiment. As can be seen from this figure, since the natural oxide film 73 is formed on the base 70, diffusion from the silicon oxide film 71 containing As is inhibited by the natural oxide film 73 serving as a barrier, or Are segregated. Therefore, unlike the above-described embodiment of the present invention, the n-type conductive region 72a has a low concentration and a non-uniform distribution.

第8図は、前記本発明の実施例及び従来のウェット処
理のみの前処理の方法により形成した不純物領域の抵抗
率のヒストグラムを示す。この図から本発明の実施例に
よれば同一条件でも従来方法よりも低抵抗の不純物領域
を得ることができ、しかも、抵抗率のバラツキの小さい
均一な不純物領域が得られる。すなわち、被処理基体表
面の自然酸化膜の影響を受けることなく良好な不純物領
域が形成できるので素子の特性を向上することが可能で
ある。
FIG. 8 shows a histogram of the resistivity of the impurity region formed by the pretreatment method of the embodiment of the present invention and the conventional wet treatment only. From this figure, according to the embodiment of the present invention, even under the same conditions, an impurity region having a lower resistance than that of the conventional method can be obtained, and a uniform impurity region having a small variation in resistivity can be obtained. That is, since a favorable impurity region can be formed without being affected by the natural oxide film on the surface of the substrate to be processed, the characteristics of the element can be improved.

尚、この実施例では不純物としてAsを用いたが、As
(OCH2CH3のかわりにホスフィンやジボランを用い
ることによりそれぞれリンやボロンを不純物とする拡散
層を形成することができる。その他各種の不純物添加に
も本発明は応用することができる。
In this example, As was used as an impurity.
By using phosphine or diborane instead of (OCH 2 CH 3 ) 3 , a diffusion layer containing phosphorus or boron as an impurity can be formed. The present invention can be applied to addition of various other impurities.

また前記実施例では一旦シリコン上に不純物を含む酸
化膜を拡散源として被処理基体中に拡散する例について
述べたがジボラン等の気相から直接、被処理基体表面に
拡散を行う場合にも有効である。
In the above-described embodiment, an example in which an oxide film containing impurities is diffused into silicon as a diffusion source on silicon is described. However, the present invention is also effective in a case where diffusion is directly performed from a gaseous phase such as diborane to the surface of a semiconductor substrate. It is.

また拡散源として形成した酸化膜から不純物を熱処理
により拡散した後、再び第4図の前処理室400に搬送
し、ハロゲン元素を含むガスとNH3等の塩基性のガスを
供給して酸化膜を除去することも可能である。これによ
り被処理基体の効率的な処理を行なうことができる。
After diffusing impurities from the oxide film formed as a diffusion source by heat treatment, the impurity is again transferred to the pretreatment chamber 400 in FIG. 4, and a gas containing a halogen element and a basic gas such as NH 3 are supplied to the oxide film. Can also be removed. This allows efficient processing of the substrate to be processed.

第5の実施例 第9図に示すのは、放電を用い、エッチングを行なう
本願第2の実施例によるさらに別のドライプロセスの表
面処理装置の概略図である。
Fifth Embodiment FIG. 9 is a schematic diagram of still another dry process surface treatment apparatus according to a second embodiment of the present invention for performing etching by using discharge.

図中900は反応容器(チャンバ)でありこの反応容器9
00は放電を生起する放電室901と処理を行う処理室902と
から構成されている。912は放電を生成するためのマイ
クロ波を放電室901内に供給する導波管であり、また903
は放電室901の放電をコントロールするために前記放電
室901内に磁場を発生させるコイルである。前記マイク
ロ波と磁場によりECR放電が生起できるようになってい
る。また、904はエッチング処理を行うためのプロセス
ガスを放電901内に送り込むためのガス導入口である。
そして、処理室902中には、被処理基体905が試料台906
上に設置される。更に、処理室902内に酸化膜を除去す
る表面処理を行うためのガスを被処理基体表面に均一に
供給するために複数の開孔が設けられたガス導入管907
が設置されており、このガス導入管907は石英製放電管9
08に接続されている。また前記放電管908はマイクロ波
導波管909と結合されており、マイクロ波電源910からの
マイクロ波の供給により放電管908内に放電が生起され
るようになっている。また石英製放電管908の他端のガ
ス導入口911からは酸化膜を除去するためのガスが導入
できるようになっている。
In the figure, reference numeral 900 denotes a reaction vessel (chamber).
Reference numeral 00 includes a discharge chamber 901 for generating discharge and a processing chamber 902 for performing processing. Reference numeral 912 denotes a waveguide that supplies microwaves for generating a discharge into the discharge chamber 901;
Reference numeral denotes a coil for generating a magnetic field in the discharge chamber 901 to control the discharge in the discharge chamber 901. An ECR discharge can be generated by the microwave and the magnetic field. Reference numeral 904 denotes a gas inlet for sending a process gas for performing an etching process into the discharge 901.
In the processing chamber 902, the substrate to be processed 905 is placed on the sample stage 906.
Installed on top. Further, a gas introduction pipe 907 having a plurality of openings for uniformly supplying a gas for performing a surface treatment for removing an oxide film to the surface of the substrate to be treated in the treatment chamber 902.
The gas introduction tube 907 is a quartz discharge tube 9
Connected to 08. Further, the discharge tube 908 is connected to a microwave waveguide 909, and a discharge is generated in the discharge tube 908 by supplying a microwave from a microwave power supply 910. Further, a gas for removing an oxide film can be introduced from a gas introduction port 911 at the other end of the quartz discharge tube 908.

更に、処理室902はガス排気口913から真空排気できる
ようになっている。また、反応容器900の器壁石英製放
電管及びガス導入管の周囲にはヒータ914が具備されて
おり、加熱できるようになっている。更に図示していな
いが、被処理基体905の加熱及び冷却ができるように試
料台906には加熱、冷却手段が具備されている。
Further, the processing chamber 902 can be evacuated from the gas exhaust port 913. Further, a heater 914 is provided around the discharge wall made of quartz and the gas introduction tube of the reaction vessel 900 so that heating can be performed. Although not shown, the sample stage 906 is provided with heating and cooling means so that the substrate to be processed 905 can be heated and cooled.

この本願第2の発明の一実施例装置を用いて、ドライ
エッチングを行なう前に被処理基体表面に形成されてい
る自然酸化膜を良好に除去したり、ドライエッチング
後、被処理基体の表面の酸化物からなる付着物、汚染物
を良好に除去することができる。
Using the apparatus according to the second embodiment of the present invention, the natural oxide film formed on the surface of the substrate to be processed is favorably removed before the dry etching is performed, or the surface of the substrate to be processed is removed after the dry etching. Deposits and contaminants composed of oxides can be satisfactorily removed.

この実施例装置を用いて、ドライエッチング前処理と
して被処理基体表面の自然酸化膜を除去した場合、下地
の基体材料がエッチングされるまでのデットタイムの低
減やエッチング残渣の軽減などの点で向上が図れる。ま
た、エッチング後の後処理としてハロゲン元素を含むガ
ス及び塩基性ガスにより被処理基体の処理を行なえば、
エッチング中に表面に付着した物質、例えばマスク材料
や反応容器の構成物からの汚染物、またはガスからの汚
染を除去し、清浄な基体表面を得ることができる。
When the native oxide film on the surface of the substrate to be processed is removed as a pre-dry etching process using the apparatus of this embodiment, the improvement in terms of the reduction of the dead time until the underlying substrate material is etched and the reduction of the etching residue are improved. Can be achieved. Further, if the substrate to be processed is processed by a gas containing a halogen element and a basic gas as a post-process after the etching,
Substances adhering to the surface during etching, such as contaminants from the mask material and components of the reaction vessel, or contamination from the gas, can be removed to obtain a clean substrate surface.

また、この実施例では第9図の装置でエッチングを行
なう場合について説明したが、ガス導入口904から堆積
用ガスを供給することにより被処理基体905上に酸化膜
が金属膜等の薄膜を堆積せしめるプラズマCVD等他の加
工に対して適用することも可能である。
In this embodiment, the case where etching is performed by the apparatus shown in FIG. 9 has been described. However, when a deposition gas is supplied from the gas inlet 904, an oxide film is deposited on the substrate 905 to form a thin film such as a metal film. It is also possible to apply to other processing such as plasma CVD.

前記プラズマCVDを行なう前に前記実施例と同様の自
然酸化膜の除去を行なえば、被処理基体と薄膜との密着
性が向上するという利点がある。
If the natural oxide film is removed in the same manner as in the above embodiment before performing the plasma CVD, there is an advantage that the adhesion between the substrate to be processed and the thin film is improved.

第6の実施例 次に、本願第2の発明の他の実施例としてエピタキシ
ャル成長を行なう表面処理装置の例について述べる。
Sixth Embodiment Next, as another embodiment of the second invention of the present application, an example of a surface treatment apparatus for performing epitaxial growth will be described.

第10図は、本発明により一実施例装置の概略図であ
る。この装置は主に、前処理室100,搬送室101,反応室10
2及び予備室103から構成されている。前処理室100,搬送
室101,反応室102及び予備室103から構成されている。前
処理室100には、反応ガスを導入するガス導入口104、ガ
スを排気するガス排気口105、試料を載置する試料台10
6、光を照射する窓118及び前処理室の壁を加熱するヒー
ター107が設けられている。ここで、試料台106には、被
処理基体の試料を試料台と静電気的に密着させる機構と
冷却機構が備えられ、試料を−100℃まで冷却できるよ
うになっている。またガス排気口105には排ガス処理装
置108が取付られている。
FIG. 10 is a schematic diagram of an apparatus according to an embodiment of the present invention. This apparatus mainly includes a pretreatment chamber 100, a transfer chamber 101, and a reaction chamber 10
2 and a spare room 103. It comprises a pretreatment chamber 100, a transfer chamber 101, a reaction chamber 102, and a preliminary chamber 103. The pretreatment chamber 100 has a gas inlet 104 for introducing a reaction gas, a gas exhaust port 105 for exhausting gas, and a sample table 10 for mounting a sample.
6. A window 118 for irradiating light and a heater 107 for heating the wall of the pretreatment chamber are provided. Here, the sample stage 106 is provided with a mechanism for electrostatically bringing the sample of the substrate to be processed into contact with the sample stage and a cooling mechanism so that the sample can be cooled to -100 ° C. An exhaust gas treatment device 108 is attached to the gas exhaust port 105.

搬送室101には、2つのガス排気口109及び試料台110
が設けられており、前記試料台110にはヒーター111が埋
めこまれていて試料を+300℃まで加熱できるようにな
っている。反応室102には、ガス導入口112とガス排気口
113が設けられ、また反応室102の周囲には、室中の試料
に高周波加熱を施すためのコイル114が巻かれており、
前記コイルは高周波電源と接続されている。
The transfer chamber 101 has two gas exhaust ports 109 and a sample stage 110.
A heater 111 is embedded in the sample stage 110 so that the sample can be heated up to + 300 ° C. The reaction chamber 102 has a gas inlet 112 and a gas outlet.
113 is provided, and around the reaction chamber 102, a coil 114 for applying high-frequency heating to the sample in the chamber is wound,
The coil is connected to a high frequency power supply.

予備室103には、室中を真空にするためにガス排気口1
15と、室中を大気圧に戻すためN2ガス等の希ガスを導入
するガス導入口116が設けられている。また、装置全体
としてはロードロック型であり、各室100,101,102,103
はゲートバルブ117a,117b,117cで仕切られ、試料を室間
で搬送できような機構を備えている。
The spare chamber 103 has a gas exhaust port 1 to evacuate the chamber.
15 and a gas inlet 116 for introducing a rare gas such as N 2 gas to return the inside of the chamber to atmospheric pressure. In addition, the apparatus as a whole is a load lock type, and each room 100, 101, 102, 103
Is separated by gate valves 117a, 117b and 117c, and has a mechanism capable of transporting a sample between chambers.

次にこの装置を用いてエピタキシャル成長を行なう場
合の本願第3の発明の実施例について説明する。まず、
被処理基体としてシリコン基板を酸溶液やアルカリ溶液
で洗浄し、有機物汚染や金属汚染を除去した後、希フッ
酸処理を行い自然酸化膜を剥離した。次にこのシリコン
基板を予備室103に入れ真空排気した後、ゲートバルブ1
17aを開いて前処理室100内へ搬送し、試料台106を5℃
に保っている。ここでシリコン基板を予備室に入れ、真
空排気するまでに基板表面に10Å程度の自然酸化膜が形
成されている。次に、ガス導入口104からNF3ガス0.5Tor
r、NH3ガス0.25Torrを導入し、同時に窓118から基板にA
rレーザー光(波長193nm)を照射した。この処理を10分
間行ったところ、基板上に薄膜が形成された。次に、ゲ
ートバルブ117bを開け、予め真空排気された搬送室101
へ基板を搬送し、試料台110上に載置した。この試料台1
10上で基板を200℃で10分間保ったところ、前記薄膜が
昇華し、除去された。このとき自然酸化膜も除去されて
いた。次に、ゲートバルブ117cを開け、真空排気した反
応室102内へ基板を搬送した。この反応室102内で、基板
温度を900℃まで上昇させ、またガス導入口112からSiH2
C12を導入して20分間保持したところ、シリコン基板上
に厚さ約10ミクロンのエピ層が形成した。このエピ層
は、膜厚や比抵抗が均一であり、また結晶不整などもみ
られなかった。これに対し、前処理室100内で自然酸化
膜を除去しなかった場合、成長したエピ層の表面には小
さな突起がみられ、またエピ層と基板の界面には結晶欠
陥が生じていた。このように、本発明の実施例による装
置を用いることにより、膜質の高いエピタキシャル層を
形成することができた。
Next, an embodiment of the third invention of the present application in the case of performing epitaxial growth using this apparatus will be described. First,
A silicon substrate as a substrate to be processed was washed with an acid solution or an alkaline solution to remove organic contamination and metal contamination, and then treated with diluted hydrofluoric acid to remove a natural oxide film. Next, the silicon substrate is put into the preliminary chamber 103 and evacuated, and then the gate valve 1
17a is opened and transported into the pretreatment chamber 100.
I keep it. Here, a natural oxide film of about 10 ° is formed on the surface of the substrate before the silicon substrate is put in the preliminary chamber and evacuated. Next, NF 3 gas 0.5 Tor
r, 0.25 Torr of NH 3 gas is introduced, and A
r Irradiated with laser light (wavelength 193 nm). When this treatment was performed for 10 minutes, a thin film was formed on the substrate. Next, the gate valve 117b is opened, and the transfer chamber 101 evacuated in advance is evacuated.
The substrate was transported to the sample stage 110 and placed on the sample stage 110. This sample table 1
When the substrate was kept at 200 ° C. for 10 minutes on 10, the thin film sublimated and was removed. At this time, the natural oxide film was also removed. Next, the gate valve 117c was opened, and the substrate was transferred into the reaction chamber 102 which was evacuated. In the reaction chamber 102, the substrate temperature is raised to 900 ° C., and the SiH 2
Was held by introducing C 12 20 minutes, epitaxial layer having a thickness of about 10 microns on a silicon substrate was formed. This epitaxial layer had a uniform thickness and specific resistance, and no crystal irregularity was observed. On the other hand, when the natural oxide film was not removed in the pretreatment chamber 100, small protrusions were found on the surface of the grown epi layer, and crystal defects occurred at the interface between the epi layer and the substrate. As described above, by using the apparatus according to the embodiment of the present invention, an epitaxial layer having high film quality could be formed.

また、エピタキシャル成長を行なう他の表面処理装置
の例を第11図に示す。この装置はバレル型の反応容器20
0の中に基板201を載置くためのサセプター202が配置さ
れている。基板201を高周波加熱するために、反応容器2
00の回りにコイル203が巻かれており、高周波電源に接
続されている。反応ガスは、反応容器200に接続された
ガス導入管204,205から導入される。一方、石英製の放
電管206が反応容器200に取り付けられており、放電管20
6には、アプリケータ207を介してマイクロ波電源208か
ら発生した2.45GHzのマイクロ波が印加される。
FIG. 11 shows an example of another surface treatment apparatus for performing epitaxial growth. This device is a barrel-type reaction vessel 20
A susceptor 202 for placing the substrate 201 in 0 is arranged. In order to heat the substrate 201 by high frequency, the reaction vessel 2
A coil 203 is wound around 00 and connected to a high frequency power supply. The reaction gas is introduced from gas introduction pipes 204 and 205 connected to the reaction vessel 200. On the other hand, a discharge tube 206 made of quartz is attached to the reaction vessel 200,
A microwave of 2.45 GHz generated from a microwave power supply 208 via an applicator 207 is applied to 6.

次にこの実施例装置を用いた本願第3の発明の一実施
例であるプロセスについて説明する。まず、シリコン基
板201を反応容器200内のサセプター202の上に載置し、
容器200内を真空に排気する。ついで、石英製の放電管2
06にNF3ガスを60sccmの流量で導入する。次に、ガス導
入管204からNH3ガスを60sccmを流量で導入し、反応容器
200内の圧力を0.2Torrに保つ。ついで、マイクロ波電源
208をオンし、放電を起こす。この処理を10分間行う。
その結果、基板201の表面に薄膜が堆積した。その後、
放電を止め、ガスを排気した後、高周波電源により基板
を加熱し、温度を100℃に上げ、10分間保つ。この処理
により、表面に堆積した前記薄膜が昇華され、基板201
表面上の自然酸化膜は除去される。次に、基板温度を90
0℃まで上昇させ、SiH2Cl2を導入し、基板201の表面に
エピタキシャル成長を起こす。20分間エピタキシャル成
長を行なったところ、エピタキシャル層が厚さ10ミクロ
ンにわたって良好に形成できた。
Next, a process which is an embodiment of the third invention of the present application using the apparatus of this embodiment will be described. First, the silicon substrate 201 is placed on the susceptor 202 in the reaction vessel 200,
The inside of the container 200 is evacuated to a vacuum. Then, discharge tube 2 made of quartz
At 06, NF 3 gas is introduced at a flow rate of 60 sccm. Next, NH 3 gas was introduced at a flow rate of 60 sccm from the gas introduction pipe 204, and the reaction vessel was
The pressure inside 200 is kept at 0.2 Torr. Then, microwave power
Turns 208 on, causing discharge. This process is performed for 10 minutes.
As a result, a thin film was deposited on the surface of the substrate 201. afterwards,
After stopping the discharge and evacuating the gas, the substrate is heated by a high-frequency power source, the temperature is increased to 100 ° C., and the temperature is maintained for 10 minutes. By this treatment, the thin film deposited on the surface is sublimated, and the substrate 201
The native oxide on the surface is removed. Next, set the substrate temperature to 90
The temperature is raised to 0 ° C., SiH 2 Cl 2 is introduced, and epitaxial growth is caused on the surface of the substrate 201. After 20 minutes of epitaxial growth, an epitaxial layer was successfully formed over a thickness of 10 microns.

第7の実施例 第12図は、被処理基体への薄膜堆積としてタングステ
ンを選択成長させる本発明の表面処理装置の一実施例を
示す概略図である。前記処理装置は、前処理室500と堆
積室501からなり、両室は、ゲートバルブ502によって仕
切られている。装置全体としては、ロードロック型であ
るため、前処理室500と堆積室501には、前記ゲートバル
ブ502と別に設けられたゲートバルブ503,504を介してロ
ード室505とアンロード室506が接続されている。前処理
室500,堆積室501には、基板を加熱するためのヒータ507
を埋め込んだ試料台508,509が各々設けられている。前
処理室500には石英製の放電管510、アプリケータ511、
導波管512、マイクロ波電源513が接続されており、マイ
クロ波放電によって生じた長寿命のラジカルを前処理室
500内に輸送できるようになっている。さらに、放電管5
10を通ざずにガスを供給するためのガス導入管513が設
けられている。一方、堆積室501には、堆積用ガスの例
えばWF6とH2との混合ガスを導入するためのガス導入管5
14が設けられている。前記堆積用ガスは、WF6とシラン
に水素あるいはアルゴンを混合したガスであってもよ
い。排気系は、ロード室505、アンロード室506、前処理
室500、堆積室501にそれぞれ別個の排気装置(515),
(516),(517),(518)が設けられている。
Seventh Embodiment FIG. 12 is a schematic view showing an embodiment of the surface treatment apparatus of the present invention for selectively growing tungsten as a thin film deposition on a substrate to be treated. The processing apparatus includes a pre-processing chamber 500 and a deposition chamber 501, and both chambers are separated by a gate valve 502. Since the whole apparatus is of a load lock type, a load chamber 505 and an unload chamber 506 are connected to the pretreatment chamber 500 and the deposition chamber 501 via gate valves 503 and 504 provided separately from the gate valve 502. I have. A heater 507 for heating the substrate is provided in the pretreatment chamber 500 and the deposition chamber 501.
Sample tables 508 and 509 in which are embedded are provided. In the pretreatment chamber 500, a quartz discharge tube 510, an applicator 511,
The waveguide 512 and the microwave power supply 513 are connected, and the long-lived radicals generated by the microwave discharge are removed from the pretreatment chamber.
It can be transported within 500. Furthermore, the discharge tube 5
A gas introduction pipe 513 for supplying gas without passing through 10 is provided. On the other hand, the deposition chamber 501 has a gas introduction pipe 5 for introducing a mixed gas of a deposition gas such as WF 6 and H 2.
14 are provided. The deposition gas may be a mixture of WF 6 and silane mixed with hydrogen or argon. The exhaust system includes separate exhaust devices (515) for the load chamber 505, the unload chamber 506, the pretreatment chamber 500, and the deposition chamber 501, respectively.
(516), (517), and (518) are provided.

次に、前記薄膜堆積を行なう表面処理装置を用いて、
タングステンの選択成長を行なう本願第2の発明の一実
施例について説明する。
Next, using a surface treatment apparatus for performing the thin film deposition,
An embodiment of the second invention of the present application for performing selective growth of tungsten will be described.

まず、被処理基体として第13図(a),(b),
(c)の断面図に示す如き3つの試料A,B,Cを用意す
る。ここで試料Aはシリコン基板20表面に開口21を有す
るPSG膜22が形成され、前記開口21の基板表面には不純
物の拡散層23が形成されたものである。また、試料B
は、シリコン基板20表面に開口21を有するBPSG膜24が形
成され、前記開口21の底部の基板表面にアルミ合金等の
金属層25が形成されたものである。また、試料Cは、MO
Sトランジスタの一製造工程断面図であって、P型シリ
コン基板上にゲート酸化膜27を介して多結晶シリコンの
ゲート電極28が形成され、前記ゲート電極28の両側にソ
ース・ドレインとなる不純物拡散層29a,29bが形成され
ている。ここで、30aはフィールド酸化膜、30bは絶縁膜
であり、31a,31bはコンタクトホールである。
First, as a substrate to be processed, FIGS.
Three samples A, B, and C as shown in the cross-sectional view of (c) are prepared. Here, the sample A has a PSG film 22 having an opening 21 formed on the surface of a silicon substrate 20, and an impurity diffusion layer 23 formed on the substrate surface of the opening 21. Sample B
Is formed by forming a BPSG film 24 having an opening 21 on the surface of a silicon substrate 20, and forming a metal layer 25 such as an aluminum alloy on the substrate surface at the bottom of the opening 21. In addition, sample C
FIG. 9 is a cross-sectional view of one manufacturing step of an S transistor, in which a polycrystalline silicon gate electrode is formed on a P-type silicon substrate via a gate oxide film 27, and impurity diffusion serving as a source / drain is formed on both sides of the gate electrode 28; Layers 29a and 29b are formed. Here, 30a is a field oxide film, 30b is an insulating film, and 31a and 31b are contact holes.

このような試料A,B,Cに対して前記本発明の実施例装
置を用いて次のような処理を行なう。
The following processing is performed on such samples A, B, and C using the apparatus of the embodiment of the present invention.

前記試料をまずロード室505にいれ、排気を行う。次
にゲートバルブ503を開いて、試料を前処理室500に搬送
する。ここで、あらかじめ0.1Pa以下に排気した後、石
英製の放電管510にNF3ガスを30sccm導入し、さらに、放
電管を通さないガス導入管513からNH3ガスを30sccmの流
量で導入し、圧力を13Paに保ち、マイクロ波を印加して
放電せしめる。この処理を5分間行い、その後、前処理
室の排気を行いながらヒータ508を加熱して基板の温度
をあげる。充分に排気した後、ゲートバルブ502を開い
て、試料を堆積室501に搬送する。堆積室501の試料台50
9の上まで搬送された試料をヒータ507を用いてタングス
テンの選択成長温度の350℃まで加熱する。その後、前
述したWF6と水素の混合ガス等の堆積ガスを導入してタ
ングステンの堆積を行う。タングステン膜を堆積した
後、試料A,B,Cの断面をSEM観察してタングステンの堆積
状態を調べたところ、試料Aの拡散層、試料Bの金属
層、試料Cの拡散層及びゲート電極上にのみタングステ
ンが選択的かつ良好に形成されていた。また、比較のた
め前処理室での処理を行なわなかった場合には前述した
ようなタングステンの成長は行なわれなかった。
First, the sample is placed in the load chamber 505, and exhaust is performed. Next, the gate valve 503 is opened, and the sample is transported to the pretreatment chamber 500. Here, after evacuation in advance to 0.1 Pa or less, NF 3 gas is introduced into the quartz discharge tube 510 at 30 sccm, and NH 3 gas is introduced at a flow rate of 30 sccm from the gas introduction tube 513 that does not pass through the discharge tube. The pressure is maintained at 13 Pa, and microwaves are applied to discharge. This process is performed for 5 minutes, and then the temperature of the substrate is increased by heating the heater 508 while exhausting the pretreatment chamber. After exhausting sufficiently, the gate valve 502 is opened, and the sample is transported to the deposition chamber 501. Sample table 50 in deposition chamber 501
The sample transported to above 9 is heated to 350 ° C., which is the selective growth temperature of tungsten, using heater 507. Thereafter, tungsten is deposited by introducing a deposition gas such as the above-mentioned mixed gas of WF 6 and hydrogen. After the tungsten film was deposited, the cross section of Samples A, B, and C was observed by SEM to examine the deposition state of tungsten. The diffusion layer of Sample A, the metal layer of Sample B, the diffusion layer of Sample C, and the gate electrode Only selectively and satisfactorily tungsten was formed. For comparison, when the treatment in the pretreatment chamber was not performed, the growth of tungsten as described above was not performed.

このように、前処理として被処理基体表面の自然酸化
膜を除去する処理を行った後タングステンを成長させる
と、選択的かつ良好にタングステンの成長が行え、かつ
自然酸化膜が除去されるのでコンタクトホールやスルー
ホールのコンタクト抵抗を低減することができる。実
際、本発明の実施例によればコンタクト抵抗は、n+,P+
型シリコン上にタングステンを成長させた場合、コンタ
クトサイズが1.0μmφで各々10Ω,200Ω以下のものが
形成された。
As described above, when tungsten is grown after performing the pre-treatment of removing the natural oxide film on the surface of the substrate to be processed, tungsten can be selectively and favorably grown, and the natural oxide film is removed. The contact resistance of holes and through holes can be reduced. In fact, according to the embodiment of the present invention, the contact resistance is n + , P +
When tungsten was grown on the mold silicon, a contact size of 1.0 μmφ and 10Ω and 200Ω or less, respectively, was formed.

また、前処理室に導入するガスはNF3とNH4の混合ガス
を同時に放電させても良いし、NF3あるいはNH4ガスの一
方を放電させ、他のガスを放電せずに直接前処理室に導
入しても良い。またNF3の代りにN2F4,XeF2,ClF3,SF6,Cx
Fy(x=1以上、y=2x+2)、等のハロゲン元素を含
むガスであれば良く、NH3の代りに他の塩基性ガス例え
ばAsH3,PH3,(CH32NH,N(CH33,NH2(CH)等でも良
い。ガスの組み合せを変えることで、前処理を行うガス
流量圧力の最適条件は若干変化するが、基本的には、ハ
ロゲン元素を含むガスと塩基性ガスとの組み合せであれ
ば本発明は有効である。
As the gas introduced into the pretreatment chamber, a mixed gas of NF 3 and NH 4 may be discharged at the same time, or one of NF 3 or NH 4 gas is discharged, and the pretreatment is directly performed without discharging the other gas. May be introduced into the room. The N 2 F 4 in place of NF 3, XeF 2, ClF 3 , SF 6, Cx
Any gas containing a halogen element such as Fy (x = 1 or more, y = 2x + 2) may be used. Instead of NH 3 , another basic gas such as AsH 3 , PH 3 , (CH 3 ) 2 NH, N ( CH 3 ) 3 , NH 2 (CH) or the like may be used. By changing the combination of gases, the optimum condition of the gas flow pressure for performing the pretreatment slightly changes, but basically, the present invention is effective if a combination of a gas containing a halogen element and a basic gas is used. .

また、この実施例の堆積室は枚葉式の例であったが、
バレル型の容器で複数枚処理できるようにしてももちろ
んよい。
In addition, the deposition chamber of this embodiment was an example of a single wafer type,
Needless to say, a plurality of barrel-type containers can be processed.

さらに堆積する薄膜は、タングステンに限らずモリブ
デン、タンタル等他の高融点金属、あるいは他の金属
や、多結晶シリコン等でもよい。例えば、多結晶シリコ
ンであれば、前記実施例と同様に自然酸化膜を除去する
前処理を行った後、被処理基体のある堆積室内を例えば
650℃に保ち、SiH4ガスを導入することにより被処理基
体上に多結晶シリコン膜を形成できる。
Further, the thin film to be deposited is not limited to tungsten, but may be another high melting point metal such as molybdenum or tantalum, another metal, or polycrystalline silicon. For example, in the case of polycrystalline silicon, after performing a pretreatment for removing a natural oxide film as in the above embodiment, for example, the
By maintaining the temperature at 650 ° C. and introducing the SiH 4 gas, a polycrystalline silicon film can be formed on the substrate to be processed.

このようにして被処理基体上に多結晶シリコン膜を形
成することにより、例えば第13図(c)においてコンタ
クトホール31aや31bを埋め込むような場合でも、拡散層
29a,29bやゲート電極28上には自然酸化膜が形成されて
いないので、これら拡散層等との導通がきわめて良好に
とることができる。
By forming a polycrystalline silicon film on the substrate to be processed in this manner, even if the contact holes 31a and 31b are buried in FIG.
Since no natural oxide film is formed on the gate electrodes 29a and 29b and the gate electrode 28, conduction with these diffusion layers and the like can be extremely excellent.

第8の実施例 薄膜堆積を行なう本願第2の発明の他の実施例による
表面処理装置の概略を第14図を示す。この装置が前記第
7の実施例と異なるのは前処理を行なう室と、薄膜を形
成する室が同じ室である点である。図中、600は主反応
を行なう処理容器であり、前記容器600内に被処理基体6
01を試料台602に静電的、あるいは機械的に固定し、設
置する。603は試料台602下に設けられた被処理体601に
加熱するための加熱手段である。容器600は2つの排気
口604より真空排気できるようになっており、また、第
7の実施例と同様の薄膜堆積用のプロセスガスを導入す
るガス導入口605a,605bが処理容器に接続されている。
更に酸化膜の除去、エッチングを行うNF3とNH3等ハロゲ
ン元素を含むガスと塩基性ガスを被処理基体に均一に供
給するための2つのガス供給手段606が容器600内に設置
されており、前記ガス供給手段606は反応容器600の外部
に設けられた石英管607と接続されている。石英管607は
マイクロ波導波管608と結合されており、マイクロ波電
源609からのマイクロ波で石英管607内で放電が生起され
るようになっている。また石英管607には酸化膜の除
去、エッチングを行なうガスが導入されるガス導入口61
0及び放電のダウンフロー領域で他のガスを混合させる
こともできるようにさらに別のガス導入口611を具備し
ている。
Eighth Embodiment FIG. 14 shows an outline of a surface treatment apparatus according to another embodiment of the second invention of the present application for depositing a thin film. This apparatus is different from the seventh embodiment in that the chamber for performing the pretreatment and the chamber for forming the thin film are the same. In the figure, reference numeral 600 denotes a processing vessel for performing a main reaction, and
01 is electrostatically or mechanically fixed to the sample table 602 and installed. Reference numeral 603 denotes a heating unit for heating the object to be processed 601 provided below the sample table 602. The container 600 can be evacuated from two exhaust ports 604, and gas introduction ports 605a and 605b for introducing a process gas for thin film deposition similar to the seventh embodiment are connected to the processing vessel. I have.
Further, two gas supply means 606 for uniformly supplying a gas containing a halogen element such as NF 3 and NH 3 and a basic gas for removing and etching the oxide film to the substrate to be processed are provided in the container 600. The gas supply means 606 is connected to a quartz tube 607 provided outside the reaction vessel 600. The quartz tube 607 is connected to the microwave waveguide 608 so that a microwave is generated from the microwave power supply 609 to generate a discharge in the quartz tube 607. A gas inlet 61 into which a gas for removing and etching an oxide film is introduced is introduced into the quartz tube 607.
A further gas inlet 611 is provided so that other gases can be mixed in the downflow region of 0 and the discharge.

このような本願発明の他の実施例による表面処理装置
であっても第7の実施例と同様の効果を得ることができ
る。
The same effect as that of the seventh embodiment can be obtained even with the surface treatment apparatus according to the other embodiment of the present invention.

第9の実施例 次に、本願第3の発明の実施例方法として、コンタク
トホールを形成する例について説明する。
Ninth Embodiment Next, as a method according to a third embodiment of the present invention, an example in which a contact hole is formed will be described.

第18図は、第1図に示した本願第1の発明の一実施例
装置を用いて、コンタクトホールを形成するための工程
断面図である。まず第18図(a)に示すように、p型シ
リコン基板90上に、絶縁層として厚さ1.5μmの酸化シ
リコン膜91をCVD法により形成した後、レジスト92を塗
布した試料を形成した。次に、フォトリソグラフィー工
程によりコンタクトホール部のレジスト93(口径1μ
m)を除去した後、第1図に示した真空容器内の試料台
に載置し、試料を25℃に保ったまま、ガス導入口13aか
らNF3ガス0.05Torr、NH3ガス0.45Torrを導入し、400Wの
マイクロ波を放電管に印加して10分間処理した後、試料
を30秒間、120℃に保持する工程を繰返し、酸化シリコ
ン膜91を約4000Åエッチングした。その結果、第18図
(b)に示すように酸化シリコン膜91は等方的にエッチ
ングされ、酸化シリコン膜91の開口径はレジストマスク
の開口径よりも広くなった。このとき、酸化シリコン膜
91の表面にダメージは生じていなかった。
FIG. 18 is a process sectional view for forming a contact hole by using the apparatus of one embodiment of the first invention shown in FIG. First, as shown in FIG. 18 (a), a silicon oxide film 91 having a thickness of 1.5 μm was formed as an insulating layer on a p-type silicon substrate 90 by a CVD method, and then a sample coated with a resist 92 was formed. Next, a resist 93 (having a diameter of 1 μm) in the contact hole portion is formed by a photolithography process.
After removal of m), mounted on a sample stage within a vacuum container shown in FIG. 1, while maintaining the sample to 25 ° C., NF 3 gas 0.05Torr from the gas inlet 13a, the NH 3 gas 0.45Torr After introducing and applying a microwave of 400 W to the discharge tube for 10 minutes, the process of holding the sample at 120 ° C. for 30 seconds was repeated, and the silicon oxide film 91 was etched by about 4000 °. As a result, as shown in FIG. 18 (b), the silicon oxide film 91 was isotropically etched, and the opening diameter of the silicon oxide film 91 became larger than the opening diameter of the resist mask. At this time, the silicon oxide film
No damage was found on the surface of 91.

これは、酸化シリコン膜をエッチングするハロゲン塩
のNH4F分子がフッ素原子に比べて大きいため、酸化シリ
コン膜91の内部に入っていかず、シリコンのオキシフッ
化物を形成しないためである。
This is because the NH 4 F molecules of the halogen salt for etching the silicon oxide film are larger than the fluorine atoms, and therefore do not enter the inside of the silicon oxide film 91 and do not form silicon oxyfluoride.

次に、CF4ガスとH2ガス等の混合ガスによる反応性イ
オンエッチングにより酸化シリコン膜91を約1μmエッ
チングし、前記酸化シリコン膜の若干部分を残した溝93
aを形成した。
Next, the silicon oxide film 91 is etched by about 1 μm by reactive ion etching using a mixed gas such as a CF 4 gas and a H 2 gas, and a groove 93 leaving a small portion of the silicon oxide film is formed.
formed a.

この反応性イオンエッチングにより酸化シリコン膜91
及びレジスト92の側壁にはシリコン酸化物等の付着物が
形成された。
The silicon oxide film 91 is formed by this reactive ion etching.
Further, deposits such as silicon oxide were formed on the side walls of the resist 92.

次に再び第1図に示して装置を用い、同じ条件で薄膜
の形成と除去を行って酸化シリコン膜をエッチングし、
第18図(d)に示すようにコンタクトホール93bを完全
に開口した。この処理により、ホールの側壁に付着して
いたシリコン酸化物も除去された。
Next, using the apparatus shown in FIG. 1 again, a thin film is formed and removed under the same conditions, and the silicon oxide film is etched.
As shown in FIG. 18 (d), the contact hole 93b was completely opened. This treatment also removed the silicon oxide attached to the side wall of the hole.

次にPOCl3ガスを用いた拡散工程によりコンタクト部
にP型拡散95を形成した後、バレル型のプラズマ装置を
用い、第18図(e)に示すようにO2プラズマによってレ
ジストマスク92を除去した。ここで、コンタクト部のSi
表面には自然酸化膜96が形成していた。この試料を再
度、第1図に示した真空容器内の試料台の載置し、同じ
条件で処理し、薄膜97の形成のみを行った。次にこの試
料をスパッタ装置内の試料台に載置し、真空排気した後
加熱し、30秒間約120℃に保った。この処理により、第1
8図(g)に示すように薄膜が除去され、自然酸化膜96
も除去されていた。ここで薄膜をスパッタ装置内で除去
したのは、第1図に示す装置内で除去すると、その時に
は自然酸化膜が除去されてもスパッタ装置まで試料を運
ぶ際に大気にさらされ、自然酸化膜が再形成されるため
である。
Next, after a P-type diffusion 95 is formed in the contact portion by a diffusion process using POCl 3 gas, the resist mask 92 is removed by O 2 plasma as shown in FIG. 18E using a barrel-type plasma device. did. Here, the contact part Si
A natural oxide film 96 was formed on the surface. This sample was placed again on the sample stage in the vacuum vessel shown in FIG. 1 and processed under the same conditions, and only the thin film 97 was formed. Next, the sample was placed on a sample stage in a sputtering apparatus, evacuated, heated, and kept at about 120 ° C. for 30 seconds. By this processing, the first
8 The thin film is removed as shown in FIG.
Had also been removed. The reason why the thin film was removed in the sputtering apparatus here is that when the sample is removed in the apparatus shown in FIG. 1, even if the native oxide film is removed at that time, the sample is exposed to the atmosphere when transporting the sample to the sputtering apparatus. Is formed again.

次に試料を真空中に保ったまま、Al−Si合金をスパッ
タし、試料表面に堆積させた。その結果、第18図(h)
に示すようにコンタクトホール内はAl−Si合金で完全に
埋めこまれた。
Next, while the sample was kept in a vacuum, an Al-Si alloy was sputtered and deposited on the sample surface. As a result, FIG. 18 (h)
As shown in the figure, the inside of the contact hole was completely filled with the Al-Si alloy.

このように本発明を用いることにより、酸化シリコン
膜にダメージを与えることなく、また側壁に付着物を残
すことなくコンタクトホールを形成することができた。
さらにこのコンタクトホールはアスペクト比は高いが開
口部が広いため、スパッタにより“す”を生じることな
くAl合金をホール内に完全に埋めこむことができた。
As described above, by using the present invention, a contact hole can be formed without damaging the silicon oxide film and leaving no deposit on the side wall.
Furthermore, since the contact hole had a high aspect ratio but a wide opening, the Al alloy could be completely buried in the hole without spattering by sputtering.

次に前記実施例により形成したコンタクト抵抗の測定
を行った。その結果を第19図により説明する。形成工程
Cは、前記実施例により形成したものである。比較のた
め、第18図(b)に示す工程まで行い、反応性イオンエ
ッチングのみでコンタクトホールを完全に開口し、かつ
コンタクト部の自然酸化膜を除去しなかった試料の測定
結果(工程A)及び第18図に示す工程のうち拡散層95上
の自然酸化膜の除去をしなかった試料の測定結果(工程
B)を同図に示す。ここでアニール(450℃,30分)前後
の抵抗値も示している。工程Aで形成した材料では、コ
ンタクト抵抗が非常に高く、アニールしてもあまり低く
ならない。これは、イオン衝撃によりコンタクト部にダ
メージが生じたためと考えられる。これに対して工程B
で形成した試料は、形成直後の抵抗は高いが、アニール
処理により非常に低くなる。この結果は、ホールの最終
エッチングに用いている本発明の処理がコンタクト部の
Siにダメージを与えないものであることを意味してい
る。またアニールによって抵抗が下がるのは、自然酸化
膜がAlにより還元されるためである。さらに第18図に示
した工程で形成した試料では、形成直後からコンタクト
抵抗が低く、アニールしてもほとんど変わらない。これ
はコンタクト部に自然酸化膜が形成していないためであ
る。
Next, the contact resistance formed according to the above embodiment was measured. The result will be described with reference to FIG. The forming step C is formed according to the above embodiment. For comparison, the process up to the step shown in FIG. 18 (b) was performed, and the measurement result of a sample in which a contact hole was completely opened only by reactive ion etching and the natural oxide film of the contact portion was not removed (step A) FIG. 18 shows a measurement result (step B) of a sample in which the natural oxide film on the diffusion layer 95 was not removed in the steps shown in FIG. Here, the resistance values before and after annealing (450 ° C., 30 minutes) are also shown. The material formed in the step A has a very high contact resistance and does not become so low even when annealed. This is presumably because the contact portion was damaged by the ion bombardment. In contrast, process B
The sample formed in step 2 has a high resistance immediately after formation, but becomes extremely low due to the annealing treatment. This result indicates that the processing of the present invention used for the final etching of the
It does not damage Si. Further, the reason why the resistance is lowered by annealing is that the natural oxide film is reduced by Al. Further, in the sample formed in the step shown in FIG. 18, the contact resistance is low immediately after formation, and hardly changes even after annealing. This is because a natural oxide film is not formed in the contact portion.

このように、本願第2の発明による一実施例方法を用
いれば、コンタクト部の面積が小さく、かつ抵抗の低い
コンタクトを形成でき、またアニールが不要なので配線
に断線が生じにくいので、素子の信頼性を大幅に向上す
ることができる。
As described above, by using the method according to the second embodiment of the present invention, it is possible to form a contact having a small contact area and a low resistance, and it is not necessary to anneal. Performance can be greatly improved.

また本実施例では、酸化シリコン膜の反応性イオンエ
ッチングの際に側壁に付着するシリコン酸化物を除去し
ているが、付着物がシリコン酸化物が金属酸化物を主成
分としていれば、他のエッチング工程で構成する付着物
でも除去できる。例えば、酸化シリコン膜をマスクとし
てSiを反応性イオンエッチングによりエッチングした
り、シリコンを含む堆積ガスをエッチングの際に添加す
ると、側壁や試料の裏面に付着物が生じるが、これらは
シリコンの酸化物であるため本発明による処理で除去で
きることが確認された。
Further, in this embodiment, the silicon oxide adhering to the side wall during the reactive ion etching of the silicon oxide film is removed. Deposits formed in the etching step can also be removed. For example, if Si is etched by reactive ion etching using a silicon oxide film as a mask, or if a deposition gas containing silicon is added during etching, deposits will be formed on the side walls and the back surface of the sample. Therefore, it was confirmed that it could be removed by the treatment according to the present invention.

第10の実施例 次に第1図に示した装置を用いた本願第4の発明の一
実施例の酸化シリコン膜のエッチングについて述べる。
第20図は、SF6ガスとH2Oガスの撃合ガスを、導入口13a
から導入したときの、シリコン基板上に酸化シリコン膜
が形成された試料18のシリコン基板と酸化シリコン膜の
エッチング速度を、SF6ガスとH2Oガスの混合比を変えて
調べたものである。ここで第1図の真空容器11内の圧力
を比較的高い一定の圧力、例えば2Torrに保ち、SF6ガス
とH2Oガスの分圧を変えている。また、試料の温度は約2
5℃であり、処理時間は10分である。
Tenth Embodiment Next, the etching of a silicon oxide film according to an embodiment of the fourth invention of the present application using the apparatus shown in FIG. 1 will be described.
FIG. 20 is a撃合gas of SF 6 gas and the H 2 O gas, inlet 13a
The etching rates of the silicon substrate and the silicon oxide film of Sample 18 in which a silicon oxide film was formed on the silicon substrate when introduced from a silicon substrate were investigated by changing the mixing ratio of SF 6 gas and H 2 O gas. . Here, the pressure in the vacuum vessel 11 shown in FIG. 1 is maintained at a relatively high constant pressure, for example, 2 Torr, and the partial pressure of SF 6 gas and H 2 O gas is changed. The sample temperature is about 2
5 ° C. and treatment time is 10 minutes.

この図から、[H2O]/[SF6]分圧比1未満ではシリ
コンがエッチングされるが1以上では全くエッチングさ
れないこと、さらに分圧比1以上では酸化シリコンが高
速にエッチングされることがわかる。分圧比をさらにあ
げていった場合、シリコンのエッチング速度は略0Å/m
inを維持し、酸化シリコンのエッチング速度は徐々に低
下していくが、酸化シリコンのシリコンに対する選択比
は、極めて高い分圧比でも保たれる。すなわち、分圧比
1以上で処理することにより、酸化シリコンをシリコン
に対して高選択にエッチングすることができる。
From this figure, it can be seen that silicon is etched at a partial pressure ratio of [H 2 O] / [SF 6 ] less than 1, but not etched at 1 or more, and that silicon oxide is etched at a high speed at a partial pressure ratio of 1 or more. . If the partial pressure ratio is further increased, the silicon etching rate will be approximately 0Å / m
In is maintained, and the etching rate of silicon oxide gradually decreases, but the selectivity of silicon oxide to silicon is maintained even at an extremely high partial pressure ratio. That is, by performing the treatment at a partial pressure ratio of 1 or more, silicon oxide can be etched with high selectivity to silicon.

第20図は試料温度を室温(25℃)に保って処理した際
の結果であるが、処理中試料温度を約60℃に保って処理
すると酸化シリコン、シリコンともに全くエッチングさ
れなかった。また試料温度、室温、分圧比1以上で処理
した酸化シリコン表面をXPSで分析した所、O,S,F元素を
含む層が形成されていることがわかった。この凝縮層
は、処理後、試料を真空中で加熱(約60℃)すると除去
された。この処理の後、試料表面には1原子層以下のF
が残留するが後述するように励起した水素ガスに晒す
か、又は同時に光を照射することにより下を除去でき
る。
FIG. 20 shows the results when the sample temperature was kept at room temperature (25 ° C.). When the sample temperature was kept at about 60 ° C. during the process, neither silicon oxide nor silicon was etched. When the surface of the silicon oxide treated at a sample temperature, room temperature, and a partial pressure ratio of 1 or more was analyzed by XPS, it was found that a layer containing the elements O, S, and F was formed. After treatment, the condensed layer was removed by heating the sample in vacuum (about 60 ° C.). After this treatment, the surface of the sample has an F
However, the lower portion can be removed by exposing to an excited hydrogen gas as described later or simultaneously irradiating light.

これらの結果から、試料温度が室温の場合にはO,S,F
元素を含む凝縮層が形成され、これが直接酸化シリコン
と反応するか、あるいはこの凝縮層からエッチング種が
供給されて酸化シリコンがエッチングされたものと考え
られる。上記Fを含んだ凝縮層はSiO2をエッチングする
上、強酸性であることから、フッ酸によるSiO2のエッチ
ングと同様にF-イオンやHF2 -イオンがエッチングに寄与
しているものと考えられる。さらに凝縮層がS,Oを含む
ことからエッチング機構は次のように推測される。
From these results, when the sample temperature is room temperature, O, S, F
It is considered that a condensed layer containing an element was formed and reacted directly with the silicon oxide, or the silicon oxide was etched by supplying an etching species from the condensed layer. Since the above-mentioned condensed layer containing F etches SiO 2 and is strongly acidic, it is considered that F ions and HF 2 ions contribute to the etching as in the etching of SiO 2 with hydrofluoric acid. Can be Further, since the condensed layer contains S and O, the etching mechanism is assumed as follows.

まず、SF6ガスの放電分解によりSF4ガスが生じこれが
H2Oと反応してSO2ガスが生成する。このSO2ガスは、H2O
の放電分解により生じたO原子と結合してSO3となる。
蒸気圧の低いSO3は凝縮しやすく、試料表面に堆積した
後、その一部はH2Oと反応して液体であるH2SO4となる。
またSF6ガスの放電分解により生じたF原子とH2Oの反応
や、上で述べたSF4+H2Oの反応によりHFガスが生成す
る。
First, SF 4 gas is generated by discharge decomposition of SF 6 gas, which is
Reacts with H 2 O to generate SO 2 gas. This SO 2 gas is H 2 O
Is combined with O atoms generated by the discharge decomposition of SO 3 to form SO 3 .
SO 3 having a low vapor pressure is easily condensed, and after depositing on the sample surface, part of it reacts with H 2 O to become liquid H 2 SO 4 .
Further, HF gas is generated by the reaction between F atoms and H 2 O generated by the discharge decomposition of SF 6 gas and the above-described reaction of SF 4 + H 2 O.

大きな極性をもつHFガスは、やはり極性の大きなH2SO
4に容易に溶解する。溶解したHFのうち一部は電離してH
+とF-,HF2 -等を生じ、また一部はH2SO4と反応してHSO3F
とH2Oを生じる。HSO3Fもまた極性の大きな液体でありHF
ガスを溶解してF-やHF2を生成する。
HF gas with large polarity is also H 2 SO with large polarity
Dissolves easily in 4 . Some of the dissolved HF is ionized to H
+ And F -, HF 2 - or the like occurs, and some reacts with H 2 SO 4 HSO 3 F
And H 2 O. HSO 3 F is also a highly polar liquid and HF
Was dissolved gas F - to produce the or HF 2.

SiO2の中では、Oは負電荷を、Siは正電荷を帯びてい
る。従ってH+イオンは0に、F-,HF2 -イオンはSiに引き
つけられ、SiO2のSi−O結合が切れてSiF4とH2Oが生成
する。SiF4,H2Oは揮発性であり、基体として除去され
る。これに対して極性を有していないSiはH+,F-等のイ
オンを反応せずエッチングが生じないと考えられる。全
体の反応は次式のようになる: 金属酸化物も上記とほぼ同様の機構に基づいて除去でき
る。
In SiO 2 , O has a negative charge and Si has a positive charge. Therefore, the H + ion is attracted to 0, and the F and HF 2 ions are attracted to Si, and the Si—O bond of SiO 2 is broken to generate SiF 4 and H 2 O. SiF 4 and H 2 O are volatile and are removed as a substrate. On the other hand, it is considered that Si having no polarity does not react with ions such as H + and F and does not cause etching. The overall reaction is: Metal oxides can also be removed based on a mechanism similar to the above.

例えば上記の例で用いたSF6ガスとH2Oガスの混合ガス
のかわりにS2Cl2ガスやSO2Cl2ガス等とH2Oガスの混合ガ
スを用い、H2SO4やHClを生成することで、アルミナ(Al
2O3)をAl2O3+HCl→AlCl3+H2Oの反応によりエッチン
グすることができる。すなわち本発明は上記実施例に限
定されるものではなく、他の半導体や金属の酸化物にも
適用できる。
For example, instead of the mixed gas of SF 6 gas and H 2 O gas used in the above example, a mixed gas of S 2 Cl 2 gas or SO 2 Cl 2 gas or the like and H 2 O gas is used, and H 2 SO 4 or HCl To produce alumina (Al
2 O 3 ) can be etched by the reaction of Al 2 O 3 + HCl → AlCl 3 + H 2 O. That is, the present invention is not limited to the above embodiment, and can be applied to other semiconductors and metal oxides.

また、上記実施例ではSF6/H2O混合ガスを放電してい
るが、どちらか一方のガスのみを放電した後、混合し、
試料に供給してもよい。
Further, in the above embodiment, the SF 6 / H 2 O mixed gas is discharged, but after discharging only one of the gases, mixing is performed.
It may be supplied to the sample.

上記実施例では、反応容器とは別の場所で活性化(放
電)しているが、反応容器内で活性化してもよい。しか
し、選択エッチングを行う場合には、活性化により生じ
たイオン等が試料に照射すると、選択性が劣化するた
め、イオンが試料に到達する前に他のガスと反応するよ
うに、容器内圧力を高くする必要がある。従って例えば
容器内でプラズマを発生させてエッチングを行う場合に
は、比較的圧力の高いプラズマエッチングを用いた方が
よい。また、酸化膜をエッチングする際にO原子がある
とエッチングを阻害するため除く必要があるが、容器内
の圧力を高くすると、ガスの分解(例えばH2O→O+O
H)や反応(F+H2O→HF+OH、2OH→H2O+O)、及び石
英(SiO2)などが使用されている器壁のスパッタ等によ
り生じたO原子を、試料に到達する前に他のガスを反応
させ、除去することができる。
In the above embodiment, activation (discharge) is performed at a place different from the reaction vessel, but activation may be performed inside the reaction vessel. However, when performing selective etching, when ions or the like generated by activation irradiate the sample, the selectivity deteriorates. Therefore, the pressure in the container is set so that the ions react with another gas before reaching the sample. Need to be higher. Therefore, for example, when etching is performed by generating plasma in a container, it is better to use plasma etching with relatively high pressure. When O atoms are present during etching of the oxide film, they must be removed because they hinder the etching. However, when the pressure in the container is increased, the gas is decomposed (for example, H 2 O → O + O).
H) and reactions (F + H 2 O → HF + OH, 2OH → H 2 O + O), and O atoms generated by sputtering of a vessel wall using quartz (SiO 2 ) etc. The gas can be reacted and removed.

このような観点から見ると、活性化する場所の器壁の
材料はSiO2よりもAl2O3やBN等の方が望ましい。後者の
方がエッチングされにくく、O原子を放出しにくい上、
前者では例えばF原子によりエッチングされてSiF4と生
じこれがH2Oと例えばF原子によりエッチングされてSiF
4を生じこれがH2Oと反応してSiO2を生成する等、やはり
酸化膜エッチングを阻害する反応が起きやすいためであ
る。
From this point of view, Al 2 O 3 , BN, etc. are more preferable than SiO 2 for the material of the vessel wall at the place of activation. The latter is harder to be etched and harder to emit O atoms,
In the former, for example, SiF 4 is formed by etching with F atoms, which is etched by H 2 O and, for example, F atoms.
This is because a reaction that also inhibits oxide film etching is likely to occur, such as generation of 4 , which reacts with H 2 O to generate SiO 2 .

ガスを活性化する手段としては放電以外の手段、例え
ば光照射、加熱、荷電粒子や中性ラジカル等の活性種を
反応等に用いもよい。さらに自発的に解離してハロゲン
元素を含む活性種を生じるガスを用いれば必ずしもガス
の活性化は必要ない。例えばXeF2,SO2,H2Oガスの組み合
わせであれば混合するだけで上記実施例と類似の反応が
生じ、シリコン酸化膜を除去できる。
As means for activating the gas, means other than electric discharge, for example, light irradiation, heating, or active species such as charged particles and neutral radicals may be used for the reaction and the like. Further, if a gas that spontaneously dissociates to generate an active species containing a halogen element is used, activation of the gas is not necessarily required. For example, in the case of a combination of XeF 2 , SO 2 , and H 2 O gas, a reaction similar to that of the above-described embodiment occurs only by mixing, and the silicon oxide film can be removed.

ガスについても上記実施例に限定されるものではな
い。上記実施例ではハロゲン元素(ここではF元素)含
むガスとしてSF6ガスを用いているがF元素を含むガス
として例えばSF5Cl,SOF2,SO2F2,NF3,CxFy,CxFyHz,BF3,P
F3などを用いてもよい。
The gas is not limited to the above embodiment. In the above embodiment, SF 6 gas is used as a gas containing a halogen element (here, F element), but as a gas containing an F element, for example, SF 5 Cl, SOF 2 , SO 2 F 2 , NF 3 , C x F y , C x F y H z , BF 3 , P
F 3, or the like may be used.

また上記実施例ではH2SO4,SFxとH2Oの反応によりSO3
を生成し、これをHiOと反応させて形成しているが、SO3
は他の方法で生成してもよい。例えばSO3粉末を加熱、
ガス化したり、あるいはイオウの加熱によりイオウガス
を生成しこれを酸化する等の方法を用いてもよい。
The H 2 SO 4 in the above embodiment, SF x and H 2 O SO 3 by reaction of
Generates, although formed which is reacted with HIO, SO 3
May be generated in other ways. For example, heating SO 3 powder,
It is also possible to use a method such as gasification or generation of a sulfur gas by heating the sulfur and oxidizing it.

また、上記実施例ではHFやH2SO4に溶解させているが
他の液体に溶解させてもよい。すなわち、H2S,HCN等の
O,S,Cのいずれかの元素と水素元素を含み液体がプロト
ン供与性を有する無機化合物ガスを液化すると、この液
体はプロトン供与性であるからHFガスと相互作用しやす
く、HFガスを多量に溶解すると共にF-,HF2 -等のイオン
を生じ酸化シリコンをエッチングすることができる。こ
れは有機化合物ガス、例えばCH3OH,C2H5OH,C3H8,(C
2H52O等を用いた場合も同様である。また、これらの
ガスは生ガスとして容器内に導入し、試料表面で液化さ
せることができるが、上記実施例のように、反応容器内
でガスを反応させて液体を形成してもよい。
Further, in the above-described embodiment, it is dissolved in HF or H 2 SO 4 , but it may be dissolved in another liquid. That is, H 2 S, HCN, etc.
When a liquid containing an O, S, or C element and a hydrogen element and liquefied is an inorganic compound gas having a proton-donating property, the liquid easily interacts with the HF gas because the liquid is proton-donating. F while dissolved in -, HF 2 - ions and the resulting silicon oxide or the like can be etched. This is an organic compound gas such as CH 3 OH, C 2 H 5 OH, C 3 H 8 , (C
When using the 2 H 5) 2 O, etc. is the same. In addition, these gases can be introduced into the container as raw gas and liquefied on the surface of the sample. However, as in the above embodiment, the gas may be reacted in the reaction container to form a liquid.

このような液体としては、H2SO4の他にH2SO3,HNO3,HN
O2,H2CO3,H3PO4,H3BO3,H3AsO4等が挙げられる。これら
の液体は上記実施例と類似の手段で形成できる。すなわ
ち、O元素もしくはハロゲン元素とS,C,B,N,P,Asのいず
れかの元素を含むガス、例えばCO2やNO2,POCl2等のガス
と、O,S,C,のいずれかの元素と水素元素を含む無機化合
物単一ガスもしくは混合ガス、あるいは構成元素がC,H
元素のみであるか、もしくは構成元素にO元素を含む有
機化合物ガス、例えばH2O,CH3OH,C2H5OH,C3H8等と反応
させて形成することができる。またここで用いるガス必
ずしも生ガスでなくてよく、その構成成分元素を含む混
合ガスから生成することができる。例えばH2OはH2+O2,
CO2はCFx+H2O,O2等のガスを活性化、反応させることで
生成できる。
Such liquids include H 2 SO 4 , H 2 SO 3 , HNO 3 , HN
O 2 , H 2 CO 3 , H 3 PO 4 , H 3 BO 3 , H 3 AsO 4 and the like. These liquids can be formed by means similar to the above embodiment. That is, a gas containing an O element or a halogen element and any one of S, C, B, N, P, and As, for example, a gas such as CO 2 , NO 2 , POCl 2 , and any of O, S, and C Inorganic compound containing any element and hydrogen element Single gas or mixed gas, or constituent elements are C, H
It can be formed by reacting with an organic compound gas containing only an element or an O element as a constituent element, for example, H 2 O, CH 3 OH, C 2 H 5 OH, C 3 H 8 or the like. The gas used here is not necessarily a raw gas, and can be generated from a mixed gas containing the constituent elements. For example, H 2 O is H 2 + O 2 ,
CO 2 can be generated by activating and reacting a gas such as CF x + H 2 O, O 2 .

なお、本発明のエッチングを行うためには試料表面に
凝縮層を形成すべく、反応容器内の圧力を十分高くする
かあるいは試料温度を十分低くする必要がある。
In order to perform the etching of the present invention, it is necessary to sufficiently increase the pressure in the reaction vessel or sufficiently lower the sample temperature in order to form a condensed layer on the sample surface.

実際、上記実施例では反応容器の圧力を0.2Torrに下
げると[H2O]/[SF6]分圧比が1より大きくてもSiO2
をSiに対して選択的にエッチングすることはできなかっ
た。また、上記実施例で試料温度を5℃に下げると、分
圧比0.5以上でSiO2をSiに対して選択的にエッチングす
ることができた。
In fact, in the above embodiment, when the pressure of the reaction vessel is reduced to 0.2 Torr, even if the [H 2 O] / [SF 6 ] partial pressure ratio is larger than 1, SiO 2
Could not be selectively etched with respect to Si. Further, when the sample temperature was lowered to 5 ° C. in the above example, SiO 2 could be selectively etched with respect to Si at a partial pressure ratio of 0.5 or more.

しかし、凝縮層を試料表面で形成するのではなく、そ
れに相当するものを別の場所で形成し、試料に供給すれ
ば反応容器の圧力が低く、試料温度が高くてもSiO2をSi
に対して選択的にエッチングできる。例えば第1図に示
した装置で放電管14と真空容器11の間に、直径0.1mmの
穴があいたノズルをつけ、放電管14と反応容器の間に差
圧が生じるようにしておき、上記実施例のようにSF6/H2
O混合ガスを用い、放電管の圧力3Torr、反応容器の圧力
10-6Torrとして放電されるとノズルから出たガスは断熱
膨張を起こし、H2SO4,HFを含むクラスターが形成される
が、これを試料に供給することで試料温度が高くてもエ
ッチングを生じせることができる。
However, the condensation layer is not formed in the sample surface to form the equivalent of it in another place, low pressure in the reaction vessel be supplied to the sample, the SiO 2 even at high sample temperature Si
Can be selectively etched. For example, in the apparatus shown in FIG. 1, a nozzle having a hole having a diameter of 0.1 mm is provided between the discharge tube 14 and the vacuum vessel 11 so that a pressure difference is generated between the discharge tube 14 and the reaction vessel. SF 6 / H 2 as in the example
Discharge tube pressure 3 Torr, reaction vessel pressure using O mixed gas
When discharged at 10 -6 Torr, the gas discharged from the nozzle undergoes adiabatic expansion, and clusters containing H 2 SO 4 and HF are formed. Can be caused.

反応容器内の圧力を十分高くするのは反応容器内の圧
力が低いと、フッ素原子が試料まで輸送され、Siがエッ
チングされるので、これを防止するためである。圧力が
高い場合は、フッ素原子が試料に到達する前に多数回、
他のガスと衝突し、反応して安定なフッ化物ガスになる
ため前記Siのエッチングが生じなくなる。
The reason why the pressure in the reaction vessel is made sufficiently high is that if the pressure in the reaction vessel is low, fluorine atoms are transported to the sample and Si is etched, which is prevented. If the pressure is high, many times before the fluorine atoms reach the sample,
Since it collides with another gas and reacts to form a stable fluoride gas, etching of the Si does not occur.

一方、高圧力であればH2OやSO3,HF等のガスが試料表
面と衝突する頻度が高くなり、HFを含んだH2SO4が表面
に多量に形成されSiO2のエッチング速度を増加するから
である。
On the other hand, if the pressure is high, the frequency of gas such as H 2 O, SO 3 and HF colliding with the sample surface increases, and a large amount of H 2 SO 4 including HF is formed on the surface and the etching rate of SiO 2 is reduced. It is because it increases.

また、試料温度を低くするのは、表面温度が低い程ガ
スの吸着確率が高くなるため、5℃に冷却することでH2
O,SO3,HF等のガスの試料表面での吸着量が多くなり、HF
を含んだH2SO4が表面に多量に形成され、SiO6のエッチ
ング速度が増加するからである。このような圧力、温度
を制御する効果は上記SF6/H2Oガスに限定されるもので
はなく本発明に含まれる全てのガスについて、当てはま
る。さらに、SF2等のフッ素元素を含むガスとH2ガス
の、少くとも一方を反応容器内とは別の領域で励起し
て、それらガスを反応容器内に供給してSiO2をエッチン
グする場合にも有効である。ここでSiO2は、励起により
生じたフッ素原子とH2ガス、あるいはH原子と、フッ素
元素を含むガスの反応により生成したHFによりエッチン
グされる。すなわちSiO2表面に吸着したHFの量がある程
度以上になると、HF同志の相互作用により3HF→H2F++H
F-等によりH2F+やHF2 -といったイオンが生成し、SiO2
の、正の電荷を帯びたSiはHF2 -を、OはH2F+を引きつ
け、反応を生じて(4HF+SiO2→SiF4+2H2O)エッチン
グを起こす。このようにSiO2をエッチングするために
は、HF2 -やH2F+といったイオンが生成することが不可欠
であ、HFを多量に吸着させる必要がある。従って上で述
べたように、反応容器の圧力を高くしたり、試料温度を
下げることでHFの吸着量を増し、SiO2のエッチング速度
を増加させることができる。
Further, to lower the sample temperature, since the adsorption probability of higher surface temperature is lower gas increases, H 2 by cooling to 5 ° C.
The adsorption amount of gas such as O, SO 3 and HF on the sample surface increases,
This is because a large amount of H 2 SO 4 containing is formed on the surface, and the etching rate of SiO 6 increases. Such an effect of controlling the pressure and temperature is not limited to the above SF 6 / H 2 O gas, but applies to all gases included in the present invention. Further, when at least one of a gas containing a fluorine element such as SF 2 and an H 2 gas is excited in a region different from the inside of the reaction vessel, and those gases are supplied into the reaction vessel to etch SiO 2. It is also effective. Here, SiO 2 is etched by fluorine atoms generated by excitation and H 2 gas, or HF generated by a reaction between H atoms and a gas containing elemental fluorine. In other words, when the amount of HF adsorbed on the SiO 2 surface exceeds a certain level, 3HF → H 2 F + + H
Ions such as H 2 F + and HF 2 are generated by F − and the like, and positively charged Si in SiO 2 attracts HF 2 and O attracts H 2 F +, and a reaction occurs ( 4HF + SiO 2 → SiF 4 + 2H 2 O) Etching occurs. In order to etch SiO 2 in this manner, it is essential to generate ions such as HF 2 and H 2 F +, and it is necessary to adsorb a large amount of HF. Therefore, as described above, by increasing the pressure in the reaction vessel or lowering the sample temperature, the amount of HF adsorbed can be increased, and the etching rate of SiO 2 can be increased.

これに対して、Siはイオン性を持たないためHF2 -,H2F
+等が存在してもエッチングされない。また、HFの吸着
量が多ければ多少のフッ素原子が試料に到達しても、Si
との反応を阻害し、エッチングを抑制する。
In contrast, since the Si has no ionic HF 2 -, H 2 F
Even if + is present, it is not etched. Also, if the amount of HF adsorbed is large, even if some fluorine atoms reach the sample,
And inhibits etching.

以上の理由により容器内圧力を十分に高く、試料温度
を低くすることでSiO2のSiに対する選択エッチングの選
択性が向上する。
For the above reasons, the selectivity of selective etching of SiO 2 with respect to Si is improved by sufficiently increasing the pressure in the container and lowering the sample temperature.

本発明は前述した第1乃至第10の実施例に限られるも
のではない。
The present invention is not limited to the first to tenth embodiments described above.

例えば本発明においてハロゲン元素を含むガスとはNF
3ガスの他にハロゲン単体ガス、インターハロゲンガス
あるいはH,B,C,Si,P,As,S,Xe,Krのうち少なくとも1つ
の元素とハロゲン元素を含むガスのいずれかまたはそれ
らの混合ガス、またはそれらと酸素ガスとの混合ガスで
ある。
For example, in the present invention, a gas containing a halogen element is NF
In addition to simple halogen gas 3 gas, interhalogen gas or H, B, C, Si, P, As, S, Xe, any or a mixed gas thereof of a gas containing at least one element and a halogen element selected from Kr Or a mixed gas of them and oxygen gas.

また、前記塩基性ガスとは、アンモニア,ヒドラジ
ン,アミン,ホスフィン,アルシンのいずれかのガス、
またはそれらの混合ガス、またはそれらとH2Oの混合ガ
ス、またはそれらの水溶液の蒸気であり、自発的に解離
して少なくともハロゲン元素を含む活性種を生じるガス
とは、インターハロゲンガス、XeまたはKrとハロゲン元
素から成るガス例えばフッ化キセノンガスのいずれか、
またはそれらの混合ガスであり、少なくともハロゲン元
素を含む塩とは、アンモニア,ヒドラジン,アミン,ホ
スフィン,アルシンのいずれかとハロゲン元素を含むも
のである。
Further, the basic gas is any one of ammonia, hydrazine, amine, phosphine, and arsine.
Or a mixed gas thereof, or a mixed gas thereof and H 2 O, or a vapor of an aqueous solution thereof, and a gas which spontaneously dissociates to generate an active species containing at least a halogen element, is an interhalogen gas, Xe or A gas composed of Kr and a halogen element, for example, any of xenon fluoride gas,
Alternatively, a salt containing at least a halogen element, which is a mixed gas thereof, includes at least one of ammonia, hydrazine, amine, phosphine, and arsine and a halogen element.

また、被処理基体はシリコンウェハだけでなく他の半
導体基体、金属物あるいは窒化物上に自然酸化膜や金属
酸化物等の酸化膜が形成されたものであれば、適用可能
である。
The substrate to be processed is applicable not only to a silicon wafer but also to another semiconductor substrate, a metal or nitride, on which an oxide film such as a natural oxide film or a metal oxide is formed.

例えばシリコン酸化物としては単結晶、多結晶、アモ
ルファスシリコンの自然酸化膜、CVD、酸化で形成され
た酸化シリコン膜、またはそれらにハロゲン元素、B,A
s,P,N,C,Hのいずれかが含まれたものであってよい。
For example, as a silicon oxide, a natural oxide film of single crystal, polycrystal, amorphous silicon, a silicon oxide film formed by CVD, oxidation, or a halogen element, B, A
Any of s, P, N, C, and H may be included.

また金属酸化物として、Al,Cu,W,Mo,Tiのいずれかま
たはそれらの合金またはそれらのシリサイドまたはそれ
らのナイトライドの酸化物であってもよい。
The metal oxide may be any of Al, Cu, W, Mo, Ti, an alloy thereof, a silicide thereof, or an oxide of a nitride thereof.

また、本発明が対象となる被処理基体としては半導体
ウエハ等に限らず表面に酸化膜が形成された真空容器内
壁、石英管、真空容器内設置物、ガス導入部内壁、ガス
排気部内壁等であってもよい。
The substrate to be processed to which the present invention is applied is not limited to a semiconductor wafer or the like, but may be a vacuum vessel inner wall having an oxide film formed on its surface, a quartz tube, a vacuum vessel installation object, a gas inlet section inner wall, a gas exhaust section inner wall, or the like. It may be.

さらにまた、酸化膜の除去を行なう場合に被処理基体
を例えば0℃以下の低温に冷却することにより、エッチ
ングガス種例えばNF3とNH3のガスの組み合せならばNH4F
分子が前記被処理基体に吸着し易くなるので、この方法
はアスペクト比の高い溝の表面に形成された自然酸化膜
の除去に際して特に有効である。
Further, when the oxide film is removed, the substrate to be processed is cooled to a low temperature of, for example, 0 ° C. or lower, so that the etching gas species, for example, NH 4 F if a combination of NF 3 and NH 3 is used.
This method is particularly effective in removing a natural oxide film formed on the surface of the groove having a high aspect ratio because molecules are easily adsorbed to the substrate to be processed.

また、酸化膜の除去の処理は、NH4Fや(NH42SiF6
の薄膜が昇華する温度以上に加熱すると、前記酸化膜の
エッチング速度は低下するので、常温程度で行なうのが
望ましい。例えば、フッ素を含むガスとNH3,NH4OH,NH3
とH2O又はH2の混合ガスの場合、100℃以下で実施するの
が望しい。
In addition, when the oxide film is removed at a temperature higher than the temperature at which a thin film such as NH 4 F or (NH 4 ) 2 SiF 6 is sublimated, the etching rate of the oxide film is reduced. desirable. For example, a gas containing fluorine and NH 3 , NH 4 OH, NH 3
In the case of a mixed gas of H 2 O and H 2 or H 2 , it is desirable to carry out the reaction at 100 ° C. or lower.

さらに第2図から明らかなように酸化膜をエッチング
する2種類のガスの圧力比はNH3,NH3とH2O又はH2の混合
ガス、またはNH4OH水溶液の蒸気に対するNF3の圧力比の
場合、1以上であるのが高選択エッチングを行なえる点
で有利である。
Further, as is clear from FIG. 2, the pressure ratio of the two gases for etching the oxide film is NH 3 , the mixed gas of NH 3 and H 2 O or H 2 , or the pressure of NF 3 with respect to the vapor of the NH 4 OH aqueous solution. In the case of the ratio, it is advantageous that the ratio is 1 or more in that high selective etching can be performed.

さらにまた、酸化膜のエッチングにより形成される薄
膜は加熱により除去する他に被処理基体に光又は電子を
照射するか、活性な中性粒子を反応容器内に導入するこ
とにより除去することができる。さらにダウンフロー装
置を用い、H2ガスの放電により生成した活性種に晒すこ
とにより、又は同時に光を照射することにより、処理後
の基体表面にわずかに残留するハロゲンを除去できる。
Furthermore, the thin film formed by etching the oxide film can be removed by irradiating the substrate to be treated with light or electrons or introducing active neutral particles into the reaction vessel in addition to removing the thin film by heating. . Further, by using a down-flow apparatus, by exposing to active species generated by the discharge of H 2 gas, or simultaneously irradiating light, it is possible to remove a small amount of halogen remaining on the surface of the treated substrate.

〔発明の効果〕〔The invention's effect〕

本発明によれば、NH4F,H2SO4/HFといった薄膜、凝縮
層を形成することにより被処理基体表面の半導体あるい
は金属等の酸化物をダメージを生じることなく高選択に
エッチング、あるいは除去することができる。
According to the present invention, a thin film such as NH 4 F and H 2 SO 4 / HF, by selectively forming a condensed layer on a surface of a substrate to be processed without causing damage to an oxide such as a semiconductor or metal, or Can be removed.

【図面の簡単な説明】[Brief description of the drawings]

第1図は本発明の一実施例に係る表面処理装置の概略
図、第2図は本発明の原理を示す特性図、第3図、第4
図、第9図乃至第12図及び第14図は本発明の他の実施例
に係る表面処理装置の概略図、第5図は本発明の実施例
に係る断面図、第6図及び第8図は本発明の実施例の効
果を説明するための特性図、第7図は本発明の実施例の
効果を説明するための断面図、第13図は本発明の実施例
を説明するための断面図、第15図乃至第17図は本発明の
実施例を説明するための説明図、第18図は本発明の一実
施例に係る工程断面図、第19図、第20図は本発明の効果
を説明するための特性図。 11……真空容器、13a,13b……ガス導入口、 14……放電管、15……ガス排気口、 18……試料、19……導波管。
FIG. 1 is a schematic diagram of a surface treatment apparatus according to one embodiment of the present invention, FIG. 2 is a characteristic diagram showing the principle of the present invention, FIG.
FIGS. 9 to 12 and 14 are schematic views of a surface treatment apparatus according to another embodiment of the present invention, FIG. 5 is a cross-sectional view according to the embodiment of the present invention, and FIGS. FIG. 7 is a characteristic diagram for explaining the effect of the embodiment of the present invention, FIG. 7 is a sectional view for explaining the effect of the embodiment of the present invention, and FIG. 13 is a diagram for explaining the embodiment of the present invention. 15 to 17 are explanatory views for explaining an embodiment of the present invention, FIG. 18 is a process cross-sectional view according to an embodiment of the present invention, FIG. 19 and FIG. FIG. 6 is a characteristic diagram for explaining the effect of FIG. 11 Vacuum container, 13a, 13b Gas inlet, 14 Discharge tube, 15 Gas outlet, 18 Sample, 19 Waveguide.

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭62−52932(JP,A) 特開 昭61−256725(JP,A) 特開 昭62−285424(JP,A) 特開 昭63−53929(JP,A) 特開 昭59−11629(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/3065 ──────────────────────────────────────────────────続 き Continuation of the front page (56) References JP-A-62-52932 (JP, A) JP-A-61-256725 (JP, A) JP-A-62-285424 (JP, A) JP-A 63-285424 53929 (JP, A) JP-A-59-11629 (JP, A) (58) Fields investigated (Int. Cl. 6 , DB name) H01L 21/3065

Claims (12)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】基体表面に酸化膜が形成された被処理基体
を真空排気可能な反応容器に収納し、ハロゲン塩を含む
薄膜を前記酸化膜上に形成し、前記酸化膜を除去するこ
とを特徴とする表面処理方法。
An object of the present invention is to accommodate a substrate having an oxide film formed on the surface of the substrate in a reaction vessel capable of evacuating, forming a thin film containing a halogen salt on the oxide film, and removing the oxide film. Characteristic surface treatment method.
【請求項2】ハロゲン塩ガスを前記反応容器内に供給す
ることを特徴とする請求項1記載の表面処理方法。
2. The surface treatment method according to claim 1, wherein a halogen salt gas is supplied into the reaction vessel.
【請求項3】ハロゲン元素を含むガスと塩基性ガスの少
なくとも一方を前記反応容器とは別の領域で活性化し、
それらガスを前記反応容器内に供給することを特徴とす
る請求項1記載の表面処理方法。
3. Activating at least one of a gas containing a halogen element and a basic gas in a region different from the reaction vessel,
The surface treatment method according to claim 1, wherein the gas is supplied into the reaction vessel.
【請求項4】前記薄膜を前記酸化膜上に形成した後に、
前記基体を加熱、又は、前記基体に光若しくは荷電粒子
ビーム若しくは中性粒子ビームを照射することを特徴と
する請求項1記載の表面処理方法。
4. After forming the thin film on the oxide film,
The surface treatment method according to claim 1, wherein the substrate is heated, or the substrate is irradiated with light, a charged particle beam, or a neutral particle beam.
【請求項5】前記ハロゲン元素を含むガスは、ハロゲン
単体ガス、インターハロゲンガス、あるいはH,B,C,Si,
P,As,S,Xe,Krのうち少なくとも一つの元素とハロゲン元
素を含むガスのいずれか、またはそれらの混合ガス、ま
たはそれらと酸素ガスとの混合ガスであることを特徴と
する請求項3記載の表面処理方法。
5. The gas containing a halogen element may be a halogen simple gas, an interhalogen gas, or H, B, C, Si,
4. A gas containing at least one of P, As, S, Xe, and Kr and a gas containing a halogen element, or a mixed gas thereof, or a mixed gas of these and oxygen gas. The surface treatment method described.
【請求項6】前記塩基性ガスは、アンモニア,ヒドラシ
ン,アミン,ホスフィン,アルシンのいずれかのガス、
またはそれらの混合ガス、またはそれらとH2Oの混合ガ
ス、またはそれらの水溶液の蒸気であることを特徴とす
る請求項3記載の表面処理方法。
6. The basic gas is one of ammonia, hydracine, amine, phosphine, and arsine.
Or a mixed gas thereof or a mixed gas thereof with H 2 O or a surface treatment method according to claim 3, characterized in that the vapor of an aqueous solution thereof,.
【請求項7】前記活性化とは放電,光照射,加熱,荷電
粒子ビームの照射,または電気的に中性な活性種との反
応を生ぜしめるのいずれかであることを特徴とする請求
項3記載の表面処理方法。
7. The method according to claim 1, wherein the activation is any one of discharge, light irradiation, heating, irradiation of a charged particle beam, and reaction with an electrically neutral active species. 3. The surface treatment method according to 3.
【請求項8】前記酸化膜とは、単結晶,多結晶,アモル
ファスシリコンの自然酸化膜,CVD,酸化で形成された酸
化シリコン膜またはそれらにハロゲン元素,B,As,P,N,C,
Hのいずれかが含まれたものである請求項1記載の表面
処理方法。
8. The oxide film may be a natural oxide film of single crystal, polycrystal, amorphous silicon, a silicon oxide film formed by CVD or oxidation, or a halogen element, B, As, P, N, C,
The surface treatment method according to claim 1, wherein any one of H is included.
【請求項9】前記酸化膜とは、Al,Cu,W,Mo,Tiのいずれ
か、またはそれらの合金、またはそれらのシリサイド、
またはそれらのナイトライドの金属酸化物である請求項
1記載の表面処理方法。
9. The oxide film is any one of Al, Cu, W, Mo, Ti, an alloy thereof, or a silicide thereof,
The surface treatment method according to claim 1, wherein the surface treatment is a metal oxide of a nitride thereof.
【請求項10】前記基体にエッチング,堆積,酸化,拡
散,エピタキシャル成長の加工の前または後に、前記酸
化膜を除去することを特徴とする請求項1記載の表面処
理方法。
10. The surface treatment method according to claim 1, wherein said oxide film is removed before or after processing of said substrate by etching, deposition, oxidation, diffusion and epitaxial growth.
【請求項11】前記基体の酸化膜の一部を荷電粒子ビー
ムによりエッチングした後、残りの酸化膜が残置せられ
た前記基体を前記反応容器内に収容し、前記残置せられ
た酸化膜を除去することを特徴とする請求項1記載の表
面処理方法。
11. After etching a part of the oxide film of the base by a charged particle beam, the base in which the remaining oxide film is left is accommodated in the reaction vessel, and the remaining oxide film is removed. The surface treatment method according to claim 1, wherein the surface is removed.
【請求項12】基体表面に金属あるいは半導体の酸化膜
が形成された被処理基体を反応容器内に収納し、ハロゲ
ン元素を含む陰イオンが溶解したH2SO4,H2SO3,HNO3,HNO
2,H2CO3,H3PO4,H3BO3またはH3AsO4を前記酸化膜上に形
成し、前記酸化膜を除去することを特徴とする表面処理
方法。
12. A substrate to be processed having a metal or semiconductor oxide film formed on the surface of the substrate is housed in a reaction vessel, and H 2 SO 4 , H 2 SO 3 , HNO 3 in which anions containing a halogen element are dissolved. , HNO
2. A surface treatment method comprising forming 2 , H 2 CO 3 , H 3 PO 4 , H 3 BO 3 or H 3 AsO 4 on the oxide film and removing the oxide film.
JP1278571A 1988-12-27 1989-10-27 Surface treatment method Expired - Lifetime JP2981243B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP1278571A JP2981243B2 (en) 1988-12-27 1989-10-27 Surface treatment method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP63-327594 1988-12-27
JP32759488 1988-12-27
JP1278571A JP2981243B2 (en) 1988-12-27 1989-10-27 Surface treatment method

Publications (2)

Publication Number Publication Date
JPH02256235A JPH02256235A (en) 1990-10-17
JP2981243B2 true JP2981243B2 (en) 1999-11-22

Family

ID=26552927

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1278571A Expired - Lifetime JP2981243B2 (en) 1988-12-27 1989-10-27 Surface treatment method

Country Status (1)

Country Link
JP (1) JP2981243B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8409399B2 (en) 2003-03-17 2013-04-02 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
KR101297926B1 (en) * 2009-03-26 2013-08-19 가부시키가이샤 알박 Vacuum processing method and vacuum processing apparatus

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2777085B2 (en) * 1995-06-20 1998-07-16 株式会社芝浦製作所 Dry etching equipment
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
JP3627451B2 (en) 1997-06-04 2005-03-09 東京エレクトロン株式会社 Surface treatment method and apparatus
JP4612063B2 (en) * 1998-11-11 2011-01-12 東京エレクトロン株式会社 Surface treatment method and apparatus
TW448499B (en) * 1998-11-11 2001-08-01 Tokyo Electron Ltd Surface treatment method and surface treatment apparatus
JP4057198B2 (en) 1999-08-13 2008-03-05 東京エレクトロン株式会社 Processing apparatus and processing method
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
JP2003077924A (en) * 2001-08-30 2003-03-14 Sumitomo Mitsubishi Silicon Corp Method for manufacturing semiconductor wafer and semiconductor wafer
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP2007214538A (en) 2006-01-11 2007-08-23 Renesas Technology Corp Semiconductor device, and method of manufacturing same
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP2007311540A (en) 2006-05-18 2007-11-29 Renesas Technology Corp Method of manufacturing semiconductor device
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP2008235309A (en) * 2007-03-16 2008-10-02 Tokyo Electron Ltd Substrate treating device, substrate treatment method, and recording medium
DE102008037943B4 (en) * 2008-08-14 2018-04-26 Nawotec Gmbh Method and apparatus for electron-beam-induced etching and semiconductor device etched with a structure by means of such a method
JP5062217B2 (en) * 2009-04-30 2012-10-31 株式会社Sumco Manufacturing method of semiconductor wafer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012063901A1 (en) * 2010-11-11 2012-05-18 東京エレクトロン株式会社 Device for producing and method for producing semiconductor device
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9123506B2 (en) * 2013-06-10 2015-09-01 Fei Company Electron beam-induced etching
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
CN112534550A (en) * 2018-09-13 2021-03-19 中央硝子株式会社 Method and apparatus for etching silicon oxide
JP7348019B2 (en) * 2019-10-09 2023-09-20 株式会社アルバック Etching method and etching device
TW202208075A (en) * 2020-04-28 2022-03-01 日商東京威力科創股份有限公司 Method for producing semiconductor device, semiconductor production device and system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8409399B2 (en) 2003-03-17 2013-04-02 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
KR101374332B1 (en) * 2003-12-17 2014-03-25 도쿄엘렉트론가부시키가이샤 A chemical oxide removal(cor) processing system and method
KR101297926B1 (en) * 2009-03-26 2013-08-19 가부시키가이샤 알박 Vacuum processing method and vacuum processing apparatus
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus

Also Published As

Publication number Publication date
JPH02256235A (en) 1990-10-17

Similar Documents

Publication Publication Date Title
JP2981243B2 (en) Surface treatment method
EP0376252B1 (en) Method of removing an oxide film on a substrate
JP3086719B2 (en) Surface treatment method
KR102626263B1 (en) Cyclical deposition method including treatment step and apparatus for same
TWI455194B (en) Method and apparatus for cleaning a substrate surface
EP0536664B1 (en) A method for forming a thin film
US4509451A (en) Electron beam induced chemical vapor deposition
JPH0629220A (en) Formation method of thin film
US5332444A (en) Gas phase cleaning agents for removing metal containing contaminants from integrated circuit assemblies and a process for using the same
JPH0133931B2 (en)
US6764572B2 (en) Apparatus and method for semiconductor wafer etching
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
JP5006415B2 (en) Substrate cleaning method for removing oxide film
JPH0496226A (en) Manufacture of semiconductor device
JP4058669B2 (en) Method for forming conductive silicide layer on silicon substrate and method for forming conductive silicide contact
JP2010074065A (en) Substrate cleaning method for removing oxide film
JPH0360123A (en) Surface treatment and device therefor
JPH0629264A (en) Surface treatment
JP2983244B2 (en) Surface treatment method
JP3240305B2 (en) Solid growth method
CN117894748A (en) Semiconductor structure and semiconductor process method
TW202235650A (en) Methods for filling a gap and related systems and devices
JP4346931B2 (en) Deposition method
JPH1055992A (en) Cleaning and manufacturing method of semiconductor device
JPS62136814A (en) Epitaxial film growing method

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070917

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090917

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090917

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 11