KR101070953B1 - 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법 - Google Patents

실리콘을 포함하는 유전 필름 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR101070953B1
KR101070953B1 KR1020100022983A KR20100022983A KR101070953B1 KR 101070953 B1 KR101070953 B1 KR 101070953B1 KR 1020100022983 A KR1020100022983 A KR 1020100022983A KR 20100022983 A KR20100022983 A KR 20100022983A KR 101070953 B1 KR101070953 B1 KR 101070953B1
Authority
KR
South Korea
Prior art keywords
silicon
derivatives
dielectric film
precursor
disilabutane
Prior art date
Application number
KR1020100022983A
Other languages
English (en)
Other versions
KR20100103436A (ko
Inventor
리우 양
만차오 시아오
커크 스코트 컷힐
빙 한
마르크 레오나르드 오'닐
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20100103436A publication Critical patent/KR20100103436A/ko
Application granted granted Critical
Publication of KR101070953B1 publication Critical patent/KR101070953B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 실리콘, 예컨대, 이로 한정되는 것은 아니지만, 실리콘 옥사이드, 실리콘 옥시카바이드, 실리콘 카바이드 및 이들의 조합을 포함하며, 하기 특성중 하나 이상의 특성을 나타내는 유전 필름을 형성시키는 방법을 기재하고 있다: 낮은 습식 에칭 내성; 6.0 미만의 유전상수; 및/또는 고온의 신속한 열 어닐 공정에 대한 내성. 본 발명은 또한 가공되는 대상, 예컨대, 반도체 웨이퍼상에 유전 필름 또는 코팅을 형성시키는 방법을 개시하고 있다.

Description

실리콘을 포함하는 유전 필름 및 이를 제조하는 방법{DIELECTRIC FILMS COMPRISING SILICON AND METHODS FOR MAKING SAME}
본 출원은 2009년 3월 13일자 출원된 미국 가특허출원 제61/159,939호의 우선권을 주장한다.
본 발명은 가공되는 대상, 예컨대, 반도체 웨이퍼상에 유전 필름 또는 코팅을 형성시키는 방법을 개시하고 있다.
고속 반도체 장치의 경우, 극저접합(ultra-shallow junction), 낮은 시트 저항(low sheet resistance) 및 계단식 수평형 접합(abrupt lateral junction)이 단채널 효과(short channel effect)를 감소시키고 소스 드레인 연장부(source drain extension)에서의 트랜지스터 포화 전류(transistor saturation current)를 증가시키는데 중요하다. 그러한 극저접합 및 낮은 시트 저항 접합을 형성시키는 것을 보조하기 위해서, 낮은 에너지 이식(low energy implant) 및 예리한 스파이크 어닐(sharp spike anneal)이 이용되어 과도촉진확산 (transient enhanced diffusion: TED), 고형물 용해 및 채널링과 같은 문제를 해결하였다.
스파이크 어닐은 전형적으로는 이식된 도판트를 지니는 반도체 기판을 신속한 열 가공(rapid thermal processing: RTP) 시스템에서 온도 처리함으로써 수행된다. RTP를 이용하는 전형적인 어닐링 특징은 표적 온도, 예를 들어, 1050℃까지 온도를 상승시키고, 기판을 일정 시간(일반적으로는 "소킹 시간(soaktime)"으로 일컬어진다) 동안 표적 온도에서 소킹(soaking)하고, 기저 온도, 예를 들어, 200℃로 온도를 하강시킴을 포함할 수 있다.
웨이퍼가 스파이크 어닐 공정을 진행함에 따라서, 어닐링 공정에 대한 물질, 특히, 저온에서 증착된 물질의 안정성이 장치 성능에 중요할 수 있다. 더욱 특히, 그러한 적용에 사용된 유전 필름은 하기 특성중 하나 이상을 나타내야 한다: 비교적 낮은 습식 에칭율(예컨대, 묽은 HF에 노출된 경우); 6.0 미만의 유전상수; 양호한 웨이퍼내 균일성, 등각성(conformality), 또는 이들의 조합; 가스상 공정(예컨대, 산화성 플라즈마)에 대한 내성; 및/또는 종류가 유사한 물질에 비해서 온도 스파이크 어닐링 공정에 주어지는 경우의 성질 및 필름 구조에서의 비교적 작은 변화 내지 무변화.
발명의 간단한 요약
본원은 실리콘, 예컨대, 이로 한정되는 것은 아니지만, 실리콘 옥사이드, 실리콘 옥시니트라이드, 실리콘 옥시카바이드 및 이들의 조합을 포함하며, 하기 특성중 하나 이상의 특성을 나타내는 유전 필름을 형성시키는 방법을 기재하고 있다: 비교적 낮은 습식 에칭율(예컨대, 묽은 HF에 노출된 경우); 6.0 미만의 유전상수; 양호한 웨이퍼내 균일성, 등각성(conformality), 또는 이들의 조합; 가스상 공정(예컨대, 산화성 플라즈마)에 대한 내성; 및/또는 종류가 유사한 물질에 비해서 온도 스파이크 어닐링 공정에 주어지는 경우의 성질 및 필름 구조에서의 비교적 거의 없는 변화 내지 무변화. 또한, 본원은 가공되는 대상물, 예컨대, 반도체 웨이퍼상에 유전 필름 또는 코팅을 형성시키는 방법을 기재하고 있다.
한 가지 특징으로, 본 발명은 반응 챔버내에 기판의 하나 이상의 표면을 제공하고; 그러한 하나 이상의 표면상에, 화학적 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해서, 다음 화학식(I), R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체; 바람직하게는,
1,3-디실라부탄 및 이의 유도체;
1,4-디실라부탄 및 이의 유도체;
1,4-디실릴벤젠 및 이의 유도체;
1,3-디실릴벤젠 및 이의 유도체;
1,3-디실라시클로부탄 및 이의 유도체;
1,4-디실라시클로헥산 및 이의 유도체; 및 이의 조합물로 이루어진 군으로부터 선택된 전구체; 및
실리콘 전구체에 대해서 1:1 비 미만의 분자의 양의 산소원으로부터 유전 필름을 형성시킴을 포함하여, 기판의 하나 이상의 표면상에 유전 필름을 형성시키는 방법을 제공하고 있다.
또 다른 특징으로, 본 발명은 원자층 증착 공정을 통해서 실리콘을 포함하는 유전필름을 형성시키는 방법으로서,
a. 기판을 ALD 반응기에 제공하는 단계;
b. 다음 화학식(I), R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체; 바람직하게는,
1,3-디실라부탄 및 이의 유도체;
1,4-디실라부탄 및 이의 유도체;
1,4-디실릴벤젠 및 이의 유도체;
1,3-디실릴벤젠 및 이의 유도체;
1,3-디실라시클로부탄 및 이의 유도체;
1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 실리콘 전구체를 ALD 반응기에 도입하는 단계;
c. ALD 반응기를 가스로 퍼징(purging)하는 단계;
d. 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 ALD 반응기에 도입하는 단계;
e. ALD 반응기를 가스로 퍼징하는 단계;
f. 요구되는 두께의 유전 필름이 얻어질 때까지 단계 b 내지 단계 e를 반복하는 단계를 포함하는 방법을 제공한다.
추가의 특징으로, 본 발명은 CVD 공정을 이용하여 기판의 하나 이상의 표면상에 실리콘 옥사이드를 포함하는 유전 필름을 형성시키는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 다음 화학식(I), R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체; 바람직하게는,
1,3-디실라부탄 및 이의 유도체;
1,4-디실라부탄 및 이의 유도체;
1,4-디실릴벤젠 및 이의 유도체;
1,3-디실릴벤젠 및 이의 유도체;
1,3-디실라시클로부탄 및 이의 유도체;
1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택된 실리콘 전구체를 CVD 반응기에 도입하는 단계; 및
c. 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 제공하여, 하나 이상의 표면상에 유전 필름을 증착시키는 단계를 포함하는 방법을 제공한다.
도 1은 본원에 기재된 방법에 의해서 제조되며 하나 이상의 실리콘-함유 전구체로서 1,4-디실라부탄을 사용하여 증착시킨 예시적인 유전 필름의 스파이크 어닐 특성을 나타내고 있다.
도 2는 하나 이상의 실리콘-함유 전구체로서 1,4-디실라부탄을 사용하여 증착시킨 유전 필름에 대한 습식 에칭율(wet etch rate)에 대한 증착 온도의 효과를 예시하고 있다.
도 3은 1,4-디실라부탄을 사용하여 증착시킨 예시적인 필름과 비스(3차-부틸아미노)실란(BTBAS)을 사용하여 증착시킨 비교 필름에 대한 푸리에 변환 분광fourier transform spectroscopy: FTIR) 스펙트럼을 제공하고 있다.
본원은 실리콘을 포함하는 유전 필름, 및 하기 특성중 하나 이상의 특성을 나타내는 유전 필름을 형성시키는 방법을 기재하고 있다: 비교적 낮은 습식 에칭율(예컨대, 묽은 HF에 노출된 경우); 6.0 미만의 유전상수; 양호한 웨이퍼내 균일성, 등각성, 또는 이의 조합; 가스상 공정(예컨대, 산화성 플라즈마)에 대한 내성; 및/또는 종류가 유사한 물질에 비해서 온도 스파이크 어닐링 공정에 주어지는 경우의 성질 및 필름 구조에서의 비교적 거의 없는 변화 내지 무변화. 본원에 기재된 유전 필름은 하나 이상의 이들 특성을 나타내면서, 신속한 열 공정 단계 전후의 특성과 비교할 때 20% 미만 또는 15% 미만, 또는 10% 미만, 또는 5% 미만의 필름 습식 에칭 내성, 유전 성능 및/또는 필름 구조를 나타낸다.
본원에서는 상기 특성(예, 습식 에칭 내성, 유전 성능, 및/또는 필름 구조)중 하나 이상의 특성을 유지하면서 1000℃까지의 고온 스파이크 어닐링 동안 견디는 유전 필름을 증착시키는 특정의 실리콘-함유 전구체, 예컨대, 이로 한정되는 것은 아니지만, 1,4-디실라부탄, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,4-디실라시클로헥산, 및 2,5-디실라헥산을 기재하고 있다. 이들 실리콘-함유 전구체는 증착 동안 요구된 반응성을 나타내며, 특정의 구체예에서는, 양호한 필름 증착 조절성, 예를 들어, 관리 가능한 증착 속도 및 필름 균일성을 제공할 수 있다. 이론으로 한정하고자 하는 것은 아니지만, 이들 특정의 실리콘-함유 전구체를 사용하여 증착시킨 필름은 일시적인 고온 스파이크 어닐에 대해 특정의 유전상수 값 및 안정성을 유지하면서 습식 에칭율이 상당히 감소할 수 있는 적절한 수준 및 유형의 카본 인 실리콘 옥사이드(carbon in silicon oxide), 실리콘 옥시카바이드 또는 실리콘 카바이드 네트워크를 함유할 수 있는 것으로 사료된다.
유전 필름 또는 코팅을 형성시키는데 이용되는 방법은 증착 공정이다. 본원에 개시된 방법에 적합한 증착 공정의 예는, 이로 한정되는 것은 아니지만, 시클릭 CVD(CCVD), MOCVD(Metal Organic CVD: 유기 금속 CVD), 열적 화학 기상 증착, 플라즈마 증강된 화학 기상 증착(plasma enhanced chemical vapor deposition: "PECVD"), 고밀도 PECVD, 광자 유발 CVD(photon assisted CVD), 플라즈마-광자 유발 CVD("PPECVD"), 극저온 화학 기상 증착, 화학 유발 기상 증착(chemical assisted vapor deposition), 고온-필라멘트 화학 기상 증착(hot-filament chemical vapor deposition), 액체 폴리머 전구체의 CVD, 초임계 유체로부터의 증착 및 저에너지 CVD(LECVD)를 포함한다. 특정의 구체예에서, 금속 함유 필름은 플라즈마 증강된 ALD(PEALD) 또는 플라즈마 증강된 시클릭 CVD(PECCVD) 공정을 통해서 증착된다. 본원에서 사용된 용어 "화학 기상 증착 공정"은 기판이 그러한 기판 표면상에서 반응하고/거나 분해되어 요구된 증착물을 생성시키는 하나 이상의 휘발성 전구체에 노출되는 어떠한 공정을 나타낸다. 본원에서 기재된 용어 "원자층 증착 공정"은 다양한 조성의 기판상에 물질의 등각 필름을 증착시키는 자기-제한(self-limiting)(예, 각각의 반응 사이클에서 증착된 필름 물질의 양이 일정함)의 순차적 표면 화학(sequential surface chemistry)을 나타낸다. 본 발명에서 사용된 전구체, 시약 및 공급원은 종종 "가스성"으로 기재될 수 있지만, 전구체는 불활성 가스와 함께 또는 그러한 불활성 가스 없이 직접적인 기화, 버블링 또는 승화를 통해서 반응기에 운반되는 액체 또는 고체일 수 있음을 이해해야 한다. 일부의 경우에, 기화된 전구체는 플라즈마 생성기를 통해서 통과할 수 있다. 한 가지 구체예에서, 유전 필름은 ALD 공정을 이용함으로써 증착된다. 또 다른 구체예에서, 유전 필름은 CCVD 공정을 이용함으로써 증착된다. 추가의 구체예에서, 유전 필름은 열적 CVD 공정을 이용함으로써 증착된다.
특정의 구체예에서, 본원에서 개시된 방법은 반응기로의 도입 전에 및/또는 그러한 도입 동안에 전구체를 분리하는 ALD 또는 CCVD 방법을 이용함으로써 전구체의 사전-반응을 피하고 있다. 이와 관련하여, ALD 또는 CCVD 공정과 같은 증착 기술이 이용되어 유전 필름을 증착시킨다. 한 가지 구체예에서, 필름은 기판 표면을 하나 이상의 실리콘-함유 전구체, 산소 공급원, 또는 다른 전구체 또는 시약에 교대로 노출시킴으로써 ALD 공정을 통해서 증착된다. 필름 성장은 표면 반응, 각각의 전구체 또는 시약의 펄스 길이, 및 증착 온도의 자기-제한 조절(self-limiting control)에 의해서 진행된다. 그러나, 기판의 표면이 포화되면, 필름 성장은 중지된다.
앞서 언급된 바와 같이, 본원에 기재된 방법은 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자 및 알콕시기로부터 선택된다)을 지니는 실리콘 함유 전구체; 1,3-디실라부탄 및 이의 유도체로부터 선택된 하나 이상의 실리콘-함유 전구체; 바람직하게는, 1,4-디실라부탄 및 이의 유도체, 1,4-디실릴벤젠 및 이의 유도체, 1,3-디실라시클로부탄 및 이의 유도체, 1,4-디실라시클로헥산 및 이의 유도체, 임의의 추가의 실리콘-함유 전구체로 이루어진 군으로부터 선택된 하나 이상의 실리콘-함유 전구체; 실리콘 전구체의 실리콘에 대한 화학양론적 양 미만의 산소 공급원 또는 시약; 임의의 환원제; 및 임의의 질소 공급원을 사용함으로써 유전 필름을 형성시키고 있다. 증착을 위한 전구체 물질의 선택은 요구된 생성 유전 물질 또는 필름에 좌우된다. 예를 들어, 전구체 물질은 그의 화학적 원소의 함량, 화학적 원소의 화학양론적 비, 및/또는 CVD하에 형성되는 생성되는 유전 필름 또는 코팅에 대해서 선택될 수 있다. 전구체 물질은 또한 다양한 그 밖의 특성, 비용, 비독성, 취급 특성, 실온에서 액상을 유지하는 능력, 휘발성, 분자량 등에 대해서 선택될 수 있다.
본원에 개시된 방법의 한 가지 구체예에서, 유전 필름은 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자 및 알콕시기로부터 선택된다)을 포함하는 하나 이상의 실리콘-함유 전구체를 사용함으로써 형성된다.
화학식(I)에서 및 명세서 전반에 걸쳐서, 용어 "알킬"은 1 내지 20개의 탄소원자, 또는 1 내지 12개의 탄소원자 또는 1 내지 6개의 탄소원자를 지니는 선형, 분지형, 또는 고리형 작용기를 나타낸다. 예시적인 알킬기는, 이로 한정되는 것은 아니지만, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, sec-부틸, 3차-부틸, 펜틸, 헥실, 옥틸, 데실, 도데실, 테트라데실, 옥타데실, 이소펜틸, 및 3차-펜틸을 포함한다.
화학식(I)에서 및 명세서 전반에 걸쳐서, 용어 "아릴"은 6 내지 12개의 탄소원자를 지니는 시클릭 작용기를 나타낸다. 예시적인 아릴기는, 이로 한정되는 것은 아니지만, 페닐, 벤질, 톨릴 및 o-자일릴(o-xylyl)을 포함한다. 화학식(I)에서 및 명세서 전반에 걸쳐서, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 지니며, 2 내지 20개의 탄소원자, 또는 2 내지 12개의 탄소원자 또는 2 내지 6개의 탄소원자를 지니는 기를 나타낸다.
화학식(I)에서 및 명세서 전반에 걸쳐서, 용어 "알콕시"는 산소원자에 연결되며(예, R-O), 1 내지 20개의 탄소원자, 또는 1 내지 12개의 탄소원자 또는 1 내지 6개의 탄소원자를 지닐 수 있는 알킬기를 나타낸다. 예시적인 알콕시기는, 이로 한정되는 것은 아니지만, 메톡시 (-OCH3) 및 에톡시기 (-OCH2CH3)를 포함한다. 특정의 구체예에서, 알킬기, 아릴기, 및/또는 알콕시기중 하나 이상은 치환 또는 비치환되거나, 수소 대신에 치환된 하나 이상의 원자 또는 원자 군을 지닐 수 있다. 예시적인 치환체는, 이로 한정되는 것은 아니지만. 산소, 황, 할로겐 원자(예, F, Cl, I, 또는 Br), 질소 및 인을 포함한다.
특정의 구체예에서, 화학식(I)의 하나 이상의 실리콘-함유 전구체는 알콕시 치환체 및/또는 산소원자를 포함하는 하나 이상의 치환체를 지닌다. 이들 구체예에서, 증착 공정 동안의 산소원에 대한 요건이 회피될 수 있다. 그 밖의 구체예에서, 화학식(I)의 하나 이상의 실리콘-함유 전구체는 알콕시 치환체 및/또는 산소 원자를 포함하는 하나 이상의 치환체를 지니며, 또한 산소원을 사용한다.
본원에 기재된 방법의 또 다른 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,3-디실라시클로부탄 및 이의 유도체를 포함한다. 본원에서 사용된 용어 "이의 유도체"는 하나 이상의 수소원자, 치환기, 또는 이들 둘 모두가 본래의 화합물과는 상이한 기로 대체 또는 치환되는 본래의 화합물로부터 유래된 화합물을 나타낸다.
본원에 기재된 방법의 추가의 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,4-디실라시클로헥산 및 이의 유도체를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,3-디실라부탄 및 이의 유도체를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,4-디실라부탄 및 이의 유도체를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,4-디실릴벤젠 및 이의 유도체를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 하나 이상의 실리콘-함유 전구체는 1,3-디실릴벤젠 및 이의 유도체를 포함한다.
특정의 구체예에서, 본원에 기재된 방법은 추가로 상기 화학식(I)의 실리콘 함유 전구체, 1,3-디실라시클로부탄 및 이의 유도체, 1,4-디실라시클로헥산 및 이의 유도체, 1,3-디실라부탄 및 이의 유도체; 1,4-디실라부탄 및 이의 유도체; 1,3-디실릴벤젠 및 이의 유도체; 1,4-디실릴벤젠 및 이의 유도체; 및 이들의 조합물이 아닌 하나 이상의 추가의 실리콘-함유 전구체를 포함한다.
추가의 실리콘-함유 전구체의 예는, 이로 한정되는 것은 아니지만, 유기-실리콘 화합물, 예컨대, 실록산 (예, 헥사메틸 디실록산 (HMDSO) 및 디메틸 실록산 (DMSO)); 유기실란 (예, 메틸실란; 디메틸실란; 비닐 트리메틸실란; 트리메틸실란; 테트라메틸실란; 에틸실란; 디실릴메탄; 2,4-디실라펜탄; 1,4-디실라부탄; 2,5-디실라헥산; 2,2-디실릴프로판; 1,3,5-트리실라시클로헥산, 및 이들 화합물의 불소화된 유도체; 페닐-함유 유기-실리콘 화합물 (예, 디메틸페닐실란 및 디페닐메틸실란); 산소-함유 유기-실리콘 화합물, 예를 들어, 디메틸디메톡시실란; 1,3,5,7-테트라메틸시클로테트라실록산; 1,1,3,3-테트라메틸디실록산; 1,3,5,7-테트라실라-4-옥소-헵탄; 2,4,6,8-테트라실라-3,7-이옥소-노난; 2,2-디메틸-2,4,6,8-테트라실라-3,7-디옥소-노난; 옥타메틸시클로테트라실록산; [1,3,5,7,9]-펜타메틸시클로펜타실록산; 1,3,5,7-테트라실라-2,6-디옥소-시클로옥탄; 헥사메틸시클로트리실록산; 1,3-디메틸디실록산; 1,3,5,7,9-펜타메틸시클로펜타실록산; 헥사메톡시디실록산, 및 이들 화합물의 불소화된 유도체를 포함한다.
증착 방법에 따라서, 특정의 구체예에서, 하나 이상의 실리콘-함유 전구체는 소정의 몰 용적으로, 또는 약 0.1 내지 약 1000 마이크로몰로 반응기에 도입될 수 있다. 이러한 또는 그 밖의 구체예에서, 실리콘-함유 전구체는 소정의 시간 동안, 또는 약 0.001 내지 약 500초 동안 반응기에 도입될 수 있다.
앞서 기재된 바와 같이, 본원에 기재된 방법을 이용함으로써 증착된 유전 필름은 산소원, 즉, 산소를 포함하는 시약 또는 전구체를 사용함으로써 산소의 존재하에 형성된다. 산소원은 하나의 산소원의 형태로 반응기에 도입되고/거나 증착 공정에 사용된 그 밖의 전구체 중에 부수적으로 존재할 수 있다. 적합한 산소원은, 예를 들어, 물(H2O)(예, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), NO, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물을 포함할 수 있다. 특정의 구체예에서, 산소원은 약 1 내지 약 2000 스퀘어 큐빅 센티미터(square cubic centimeters (sccm)) 또는 약 1 내지 약 1000sccm 범위의 유량으로 반응기에 도입되는 산소원 가스를 포함한다. 산소원은 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 한 가지 특정의 구체예에서, 산소원은 10℃ 또는 그 초과의 온도를 지니는 물을 포함한다. 필름이 ALD 또는 시클릭 CVD 공정에 의해서 증착되는 구체예에서, 전구체 펄스( precursor pulse)는 0.01 초 초과인 펄스 시간을 지닐 수 있으며, 산소원은 0.01 초 미만인 펄스 시간을 지닐 수 있지만, 물 펄스 시간은 0.01 초 미만인 펄스 시간을 지닐 수 있다. 또 다른 구체예에서, 0초 만큼 짧을 수 있는 펄스들 사이의 퍼징 시간이 중간의 퍼징(purge in-between) 없이 연속적으로 펄스될 수 있다. 산소 공급원 또는 시약은 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 제공되어 적어도 일부 탄소가 증착된 유전 필름에 보유되게 한다.
본원에 개시된 증착 방법은 하나 이상의 퍼징 가스를 포함할 수 있다. 소모되지 않은 반응물 및/또는 반응 부산물을 퍼징하는데 사용되는 퍼징 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 불활성 가스는, 이로 한정되는 것은 아니지만, Ar, N2, He, 네온, H2 및 이들의 혼합물을 포함한다. 특정의 구체예에서, 퍼징 가스, 예컨대, Ar이 약 10 내지 약 2000sccm의 유량으로 약 0.1 내지 1000초 동안 반응기내로 공급되어서, 반응기에 유지될 수 있는 비반응된 물질 및 어떠한 부산물을 퍼징한다.
본원에 기재된 방법의 특정의 구체예에서, 반응기 또는 증착 챔버의 온도는 주위 온도(예, 25℃) 내지 약 700℃ 범위일 수 있다. ALD 또는 CVD 증착을 위한 예시적인 반응기 온도는 다음 종점중 어떠한 하나 이상을 지니는 범위를 포함한다: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, 또는 700℃. 특정의 반응기 온도 범위의 예는, 이로 한정되는 것은 아니지만, 25℃ 내지 375℃, 또는 75℃ 내지 700℃ 또는 325℃ 내지 675℃를 포함한다. 이러한 또는 그 밖의 구체예에서, 압력은 약 0.1 Torr 내지 약 100 Torr 또는 약 0.1 Torr 내지 약 5 Torr 범위일 수 있다. 한 가지 특정의 구체예에서, 유전 필름은 100 mTorr 내지 600 mTorr 범위의 압력에서 열적 CVD 공정을 이용함으로써 증착된다. 또 다른 특정의 구체예에서, 유전 필름은 1 Torr 또는 그 미만의 온도범위에서 ALD 공정을 이용함으로써 증착된다.
본원에 기재된 방법의 특정의 구체예에서, 반응기 또는 증착 챔버중의 기판의 온도는 주위 온도(예, 25℃) 내지 약 700℃ 범위일 수 있다. ALD 또는 CVD 증착을 위한 예시적인 기판 온도는 하기 종점중 어떠한 하나 이상을 지니는 범위를 포함한다: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, 또는 700℃. 특정의 기판 온도 범위의 예는, 이로 한정되는 것은 아니지만, 25℃ 내지 375℃, 또는 75℃ 내지 700℃, 또는 325℃ 내지 675℃를 포함한다. 특정의 구체예에서, 기판 온도는 증착 동안의 반응기 온도와 동일하거나 동일한 온도범위일 수 있다. 그 밖의 구체예에서, 기판 온도는 증착 동안의 반응기 온도와는 다르다.
전구체, 산소원, 및/또는 그 밖의 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 시간을 변화시켜 생성되는 유전 필름의 화학양론적 조성을 변화시킴으로써 수행될 수 있다.
전구체, 산소원, 환원제, 그 밖의 전구체 또는 이들의 조합물중 하나 이상에 에너지가 인가되어 반응을 유도하고 기판상에 유전 필름 또는 코팅을 형성시킨다. 그러한 에너지는, 이로 한정되는 것은 아니지만, 열, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마(inductively coupled plasma), X-레이, e-빔, 광자, 및 원격 플라즈마 방법에 의해서 제공될 수 있다. 특정의 구체예에서, 이차 RF 주파수 공급원이 사용되어 기판 표면에서의 플라즈마 특성을 변화시킬 수 있다. 증착이 플라즈마와 관련되는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접적으로 생성되는 직접적인 플라즈마-생성 공정, 또는 대안적으로는, 플라즈마가 반응기의 외부에서 생성되고 반응기에 공급되는 원격 플라즈마-생성 공정을 포함할 수 있다.
실리콘-함유 전구체 및/또는 그 밖의 전구체는 다양한 방식으로 반응 챔버, 예컨대, CVD 또는 ALD 반응기에 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구체예에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대, 미국 미네소타 쇼어뷰 소재의 MSP 코포레이션(MSP Corporation)에 의해서 제조된 터보 기화기(turbo vaporizer)가 사용되어 저휘발성 물질을 체적 측정으로 전달되게 하여, 전구체의 열 분해 없이 재현 가능한 수송 및 증착을 유도할 수 있다. 액체 전달 포뮬레이션(formulation)에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로는, 그러한 전구체를 포함하는 용매 포뮬레이션 또는 조성물로 사용될 수 있다. 따라서, 특정의 구체예에서, 전구체 포뮬레이션은 기판상에 필름을 형성시키기 위해서 주어진 최종 사용에서 요구되고 유익할 수 있는 적합한 특성의 용매 성분(들)을 포함할 수 있다.
본원에서 기재된 방법의 한 가지 구체예에서, 시클릭 증착 공정, 예컨대, CCVD, ALD, 또는 PEALD가 이용될 수 있으며, 여기서, 화학식(I)의 실리콘-함유 전구체, 1,3-디실라시클로부탄 및 이의 치환체, 1,4-디실라시클로헥산 및 이의 치환체; 및 이들의 조합물로부터 선택된 하나 이상의 실리콘-함유 전구체, 및 임의의 산소원, 예컨대, 오존, 산소 플라즈마 또는 물 플라즈마가 사용된다.
전구체 캐니스터(canister)로부터 반응기 챔버로 연결되는 가스 라인은 공정 요건에 따라서 하나 이상의 온도로 가열되고 화학식(I)의 실리콘-함유 전구체의 용기가 버블링을 위한 하나 이상의 온도에서 유지되지만, 화학식(I)의 실리콘-함유 전구체, 1,3-디실라시클로부탄 및 이의 치환체, 1,4-디실라시클로헥산 및 이의 치환체; 및 이들의 조합물로부터 선택된 하나 이상의 실리콘-함유 전구체를 포함하는 용액은 직접적인 액체 주입을 위한 하나 이상의 온도에서 유지된 기화기내로 주입된다.
아르곤 및/또는 그 밖의 가스의 흐름이 담체 가스로서 사용되어 전구체 펄스 동안 하나 이상의 실리콘-함유 전구체의 증기를 반응 챔버로 전달하는 것을 도울 수 있다. 특정의 구체예에서, 반응 챔버 공정 압력은 약 1 Torr이다.
전형적인 ALD 또는 CCVD 공정에서, 기판, 예컨대, 실리콘 옥사이드 기판은 복합체가 기판의 표면상으로 화학적으로 흡수되도록 먼저 실리콘-함유 전구체에 노출되는 반응 챔버내의 가열기 스테이지상에서 가열된다.
퍼징 가스, 예컨대, 아르곤은 공정 챔버로부터 비흡수된 과량의 복합체를 퍼징시킨다. 충분한 퍼징 후에, 산소원이 반응 챔버내로 도입되어 흡수된 표면과 반응한 다음, 또 다른 가스 퍼징이 챔버로부터 반응 부산물을 제거할 수 있다. 공정 사이클은 소정의 필름 두께가 달성되도록 반복될 수 있다.
이러한 또는 그 밖의 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시에(예, 또 다른 단계의 적어도 일부 동안) 수행될 수 있으며, 이들의 어떠한 조합으로 수행될 수 있음을 이해해야 한다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 이들을 공급하는 시간의 길이를 변화시켜 생성되는 유전 필름의 화학양론적 조성을 변화시킴으로써 수행될 수 있다.
본원에 기재된 방법의 또 다른 구체예에서, 유전 필름은,
a. 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체; 바람직하게는,
1,3-디실라부탄 및 이의 유도체;
1,4-디실라부탄 및 이의 유도체;
1,4-디실릴벤젠 및 이의 유도체;
1,3-디실릴벤젠 및 이의 유도체;
1,3-디실라시클로부탄 및 이의 유도체;
1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 실리콘 전구체를 도입하는 단계,
하나 이상의 실리콘 전구체를 기판상에 화학흡수시키는 단계,
퍼징 가스를 사용하여 비반응된 하나 이상의 실리콘-함유 전구체를 퍼징하는 단계,
가열된 기판상으로 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 제공하여 흡수된 하나 이상의 실리콘-함유 전구체와 반응시키는 단계, 및
임의로 어떠한 비반응된 산소원을 퍼징하는 단계를 포함하는 ALD 공정 방법을 이용함으로써 형성된다.
상기 단계들은 본원에 기재된 방법을 위한 한 사이클을 나타내며; 사이클은 요구된 두께의 유전 필름이 얻어질 때까지 반복될 수 있다. 이러한 또는 그 밖의 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시에(예, 또 다른 단계의 적어도 일부 동안) 수행될 수 있으며, 이들의 어떠한 조합으로 수행될 수 있음을 이해해야 한다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 이들을 공급하는 시간의 길이를 변화시켜 생성되는 유전 필름의 화학양론적 조성을 변화시킴으로써 수행될 수 있지만, 항상 이용 가능한 실리콘에 대한 화학양론적 양 미만의 산소를 사용한다.
다수-성분 유전 필름의 경우에, 그 밖의 전구체, 예컨대, 실리콘-함유 전구체, 질소-함유 전구체, 환원제, 또는 그 밖의 시약이 대안적으로 반응기 챔버내로 도입될 수 있다. 본원에 기재된 방법의 추가의 구체예에서, 유전 필름은 열적 CVD 공정을 이용함으로써 증착된다. 이러한 구체예에서, 방법은 주위 온도 내지 약 700℃ 범위의 온도로 가열되고 1 Torr 또는 그 미만의 압력으로 유지된 반응기에 하나 이상의 기판을 넣고; 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체; 바람직하게는,
1,3-디실라부탄 및 이의 유도체;
1,4-디실라부탄 및 이의 유도체;
1,4-디실릴벤젠 및 이의 유도체;
1,3-디실릴벤젠 및 이의 유도체;
1,3-디실라시클로부탄 및 이의 유도체;
1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 실리콘 전구체를 도입하는 단계; 반응기내로 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 제공하여 하나 이상의 기판상에 유전 필름을 증착시키는 단계를 포함하면서, 상기 반응기가 도입 단계 동안 100 mTorr 내지 600 mTorr 범위의 압력으로 유지되게 하는 방법을 포함한다.
특정의 구체예에서, 생성되는 유전 필름 또는 코팅은 증착후 처리, 예컨대, 이로 한정되는 것은 아니지만, 플라즈마 처리, 화학적 처리, 자외선 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 성질에 영향을 주는 그 밖의 처리에 노출될 수 있다.
본원에서 기재된 유전 필름은 6 또는 그 미만의 유전상수를 지닌다. 바람직하게는, 필름은 약 5 또는 그 미만, 또는 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만의 유전상수를 지닌다.
앞서 기재된 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부상에 유전 필름을 증착시키는데 이용될 수 있다. 적합한 기판의 예는, 이로 한정되는 것은 아니지만, 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카르보니트라이드, 수소화된 실리콘 카르보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리 및 알루미늄, 및 확산 장벽층(diffusion barrier layer), 예컨대, 이로 한정되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함한다. 필름은 다양한 후속 가공 단계, 예컨대, 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 및 이방성 에칭 공정(anisotropic etching process)과 조화될 수 있다.
증착된 유전 필름은, 이로 한정되는 것은 아니지만, 컴퓨터 침, 광학 장치, 자성 정보 저장기, 지지물질 또는 기판상의 코팅, 미세전자기기 시스템(microelectromechanical systems: MEMS), 나노전자기기 시스템(nanoelectromechanical system), 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)를 포함한 적용이 가능하다.
하기 실시예는 본원에 기재된 유전 필름을 제조하는 방법을 예시하고 있으며, 어떠한 방법으로든 본 발명을 제한하고자 하는 것이 아니다.
실시예
하기 실시예에서, 달리 명시되지 않는 한, 성질은 매체 저항(8 내지 12 Ωcm)의 단결정 실리콘 웨이퍼 기판상에 증착된 샘플 필름으로부터 얻었다.
하기 실시예에서, 유전 필름의 두께 및 광학적 성질, 예컨대, 굴절 지수(refractive index) 측정은 FilmTek 2000SE 타원계(FilmTek 2000SE ellipsometer)상에서 수행하였다. 수직 입사각(입사각 = 0°)에서의 분광학적 굴절 데이타가 데이타 피팅(data fitting)을 위해서 사용된다. 사용된 광의 파장 범위는 200nm 내지 900nm이다. SiO2를 대한 소광계수(extinction coefficient: k)는 광의 파장이 200nm 내지4000nm인 경우 0(제로)이고 SiO2의 분산율은 공지되어 있기 때문에, 데이타는 고주파 유전상수에 대해서만 피팅된다. 유전 필름의 두께 및 굴절 지수는 예정된 물리적인 모델(예, 로렌쯔 오실레이터 모델(Lorentz Oscillator model))에 대해 필름으로부터의 굴절 데이타를 피팅함으로써 얻어질 수 있다. RMSE(제곱근 평균 제곱 오차: root of mean square error)를 이용하여 피팅의 양호성을 측정하고, 이 값은 측정치의 결과에 대해 1%미만이어서 신뢰할 수 있어야 한다.
필름의 화학적 조성 특성화는 다중 채널 플레이트 검출기(multi-channel plate detectors: MCD) 및 Al 단색 X-레이 공급원(Al monochromatic X-ray source)이 장착된 피지컬 일렉트로닉스 5000버사프로브 XPS 스펙트로메타(Physical Electronics 5000VersaProbe XPS Spectrometer)를 사용함으로써 수행된다. XPS 데이타는 Alkα X-레이 여기(25mA 및 15kV)를 사용함으로써 수집된다. 저해상 측정 스펙트럼(low-resolution survey spectra)이 117 eV 통과 에너지, 50 밀리초 체류시간(dwell time), 및 1.0eV/스텝(step)에서 수집된다. 고해상 영역 스펙트럼은 23.5eV 통과 에너지, 50msec 체류시간, 0.1eV/스텝에서 수집된다. 분석 영역은 45°의 경사각(take-off-angle)에서 100㎛이다.
정량적인 원소 분석은 고해상 영역 스펙트럼으로부터의 피크 면적을 측정하고 투과 함수 보정된 원자 민감성 인자(transmission-function corrected atomic sensitivity factor)를 적용함으로써 측정되었다. PHI 섬밋 소프트웨어(PHI Summitt software)가 데이타 수집을 위해서 사용되며 CasaXPS 소프트웨어(CasaXPS software)가 데이타 분석을 위해서 사용된다. 에칭율은 203 nm SiO2/Si에 대해서 조정되며 약 120 Å/분이다.
에칭 시험은 1중량% HF(탈이온(DI)수중에)에서 수행된다. 예시적인 유전 필름을 HF 용액에 30초 동안 넣은 다음, DI 수중에서 세정하고, 에칭 동안의 물질의 손실에 대해서 다시 측정하기 전에 건조시킨다. 공지되고 일정한 에칭율을 지니는 두 개의 비교되는 열 실리콘 옥사이드 필름, 또는 비스(3차-부틸아미노)실란 (BTBAS) 및 비스(이소프로필아미노)비닐메틸실란 필름을 비교예로서 사용하고, 필름이 있는 동일한 카세트에 적재하고 동시에 특성화 및 에칭하였다. 필름은 비교 실리콘 옥사이드 필름과 함께 에칭 전후에 상기된 타원계 및 방법을 이용함으로써 필름 표면을 가로지른 9개의 상이한 지점에서 이들의 두께에 대해서 측정된다. 이어서, 에칭율이 필름이 HF 용액내로 침지되는 시간으로 나눈 두께 감소율로서 계산된다.
푸리에 적외선 분광(FTIR) 데이타를 DTGS KBR 검출기(DTGS KBR detector) 및 KBr 빔 스플리터(splitter)가 장착된 썸모 니콜렛 넥서스 470 시스템(Thermo Nicolet Nexus 470 system)을 사용하여 웨이퍼에 대해서 수집하였다. 배경 스펙트럼은 유사한 매체 저항 웨이퍼에 대해서 수집하여 스펙트럼으로부터의 CO2 및 물 스펙트럼을 제거하였다. 데이타는 4cm-1의 해상도로 32회 스캔을 수집함으로써 4000 내지 400cm-1의 범위에서 얻었다. OMNIC 소프트웨어 팩키지를 사용하여 데이타를 처리하였다. 모든 필름은 기준선 보정되었으며, 세기가 500nm의 필름 두께에 대해서 표준화되었고, 관심의 피크 면적과 높이가 OMNIC 소프트웨어로 측정되었다.
각각의 샘플 필름의 유전 상수는 ASTM 표준 D150-98에 따라서 측정되었다. 유전 상수 k는 MDC 802B-150 수은 프로브(Mercury Probe)로 측정된 C-V 곡선으로부터 계산된다. 이는 샘플을 고정하고 측정되는 필름상에 전기적 접촉이 있는 프로브 스테이지(probe stage), 케이쓰레이 236 소스 미터(Keithley 236 source meter) 및 C-V 측정의 위한 HP4284A LCR 미터로 이루어져 있다. 비교적 낮은 저기 저항(0.02ohm-cm 미만의 시트 저항)을 지니는 Si 웨이퍼를 사용하여 C-V 측정을 위한 필름을 증착시킨다. 정면 접촉 방식(Front contact mode)을 이용하여 필름에 전기 접촉을 형성시킨다. 액체 금속(수은)이 얇은 튜브를 통해서 저장소로부터 웨이퍼의 표면으로 밀려나와서 두 개의 전기 전도성 접촉부를 형성시킨다. 접촉 면적은 수은이 밀려나오는 튜브의 직경을 기초로 하여 계산된다. 이어서, 유전 상수가 하기 식으로부터 계산된다:
k=(커패시턴스) x (접촉 면적) / 필름의 두께
스파이크 어닐 가공이 고밀도 가시광선을 이용하여 정밀하게 제어된 온도에서 단시간 동안 단일 웨이퍼를 가열하는 RTP-610을 사용하여 수행되었다. 온도는 약 900℃ 초과의 온도를 위한 파이로미터(pyrometer)에 의해서 모니터링되고 조절된다. 이러한 작업에서 이용된 스파이크 어닐 프로필, 즉, 실온으로부터 1000℃까지의 200℃/초의 속도로 상승, 1000℃에서 2초 동안 유지 및 실온으로의 자유 냉각이 도 1에 예시되어 있다. 어닐링은 N2 대기하에 수행되었다.
실시예 1: 고온 신속 어닐 전후의 필름 성질
예시적인 실리콘 옥사이드 유전 필름을 하기 증착 조건하에 100mm 튜브 로(tube furnace)를 사용함으로써 실리콘 웨이퍼상으로 전구체 1,4-디실라부탄을 사용하여 증착시켰다: 600℃의 증착 온도, 250 mTorr의 압력, 12 표준 입방 센티미터(standard cubic centimeter: sccm)의 1,4-디실라부탄 전구체 유량 및 10 sccm의 산소(O2) 유량.
고온 신속 어닐 전후의 필름의 습식 에칭율, 유전 상수 및 두께가 표 1 및 표 2에 제공되어 있다. 표 2로부터 알 수 있는 바와 같이, 1,4-디실라부탄을 사용하여 제조된 예시적인 필름은 고온 신속 어닐(또는 스파이크 어닐)을 견딜 수 있으면서 이의 우수한 습윤 내성 및 저유전상수를 유지할 수 있다. 도 1은 상기된 방법에 의해서 제조되고 하나 이상의 실리콘-함유 전구체로서 1,4-디실라부탄을 사용하여 증착시킨 예시적인 유전 필름에 대한 스파이크 어닐 프로파일을 제공하고 있다. 표 2는 또한 필름 두께가 또한 신속한 어닐 후에 아주 작은 변화를 보임을 나타내고 있다.
도 2는 하나 이상의 실리콘-함유 전구체로서 1,4-디실라부탄을 사용하여 증착시킨 유전 필름에 대한 습식 에칭율에 대한 증착 온도의 효과를 예시하고 있다. 도 2로부터 증착 온도가 500℃ 미만으로 감소됨에 따라서 에칭 내성이 신속하게 감소됨을 알 수 있다.
표 1: 1,4-디실라부탄을 사용하여 증착시킨 유전 필름에 대한 습식 에칭율(WER) 및 유전 데이타
Figure 112010016365545-pat00001
표 2: 1,4-디실라부탄을 사용하여 증착시킨 유전 필름에 대한 스파이크 어닐(RTA) 전후의 두께 및 굴절 지수(RI)
Figure 112010016365545-pat00002
스파이크 어닐 공정이 수행되고 스파이크 어닐 공정이 수행되지 않은 예시적인 유전 필름의 X-레이 광전자 분광(XPS) 스펙트럼이 표 3에 기재되고 요약되어 있다. 표 3으로부터 알 수 있는 바와 같이, 스파이크 어닐 전후의 탄소함량에는 현저한 차이가 없다. 이러한 결과는 하나 이상의 실리콘-함유 전구체로서 1,4-디실라부탄을 사용하여 증착된 예시적인 필름에서 스파이크 어닐 가공으로 인해서 아주 적은 산소 손실이 있음을 제시할 수 있다.
표 3: 1,4-디실라부탄을 사용하여 증착시킨 유전 필름에 대한 XPS 분석에 대한 요약
Figure 112010016365545-pat00003
★ 신속한 열 어닐
실시에 2: 비스(3차-부틸아미노)실란 (BTBAS) 및 비스(이소프로필아미노)비닐메틸실란을 사용하여 증착된 비교 유전 필름
비교 실리콘 옥사이드 유전 필름을 전구체 비스(3차-부틸아미노)실란 (BTBAS) 및 비스(이소프로필아미노)비닐메틸실란을 사용하여 실리콘 웨이퍼 상에 증착시켰다.
고온 신속 열 어닐 전후의 비교 유전 필름의 습식 에칭율, 유전 상수 및 두께가 BTBAS 및 비스(이소프로필아미노)비닐메틸실란에 대해 각각 표 4 및 5 및 표 7 및 8에 제공되어 있다. 스파이크 어닐 공정이 수행되고 스파이크 어닐 공정이 수행되지 않은 BTBAS를 사용하여 증착된 비교 유전필름의 XPS 스펙트럼이 표 6에 기재되고 요약되어 있다.
표 4: BTBAS를 사용하여 증착시킨 유전 필름에 대한 습식 에칭율 및 유전 데이타
Figure 112010016365545-pat00004
★ 굴절 지수(Refractive Index)
표 5: BTBAS를 사용하여 증착시킨 유전 필름에 대한 스파이크 어닐 전후의 두께 및 굴절 지수
Figure 112010016365545-pat00005
표 6: BTBAS를 사용하여 증착시킨 유전 필름에 대한 XPS 분석의 요약
Figure 112010016365545-pat00006
표 7: 비스(이소프로필아미노)비닐메틸실란을 사용하여 증착시킨 유전 필름에 대한 스파이크 어닐 전후의 두께 및 굴절 지수
Figure 112010016365545-pat00007
표 8: 비스(이소프로필아미노)비닐메틸실란을 사용하여 증착시킨 유전 필름에 대한 습식 에칭율 및 유전 데이타
Figure 112010016365545-pat00008
실시예 3
1:1 미만의 실리콘 전구체:산소원 분자 비
실험은 분자 기준으로 1:1 비 미만으로 실리콘 전구체와의 반응에서 이용될 수 있는 산소원의 양의 존재하에 본 발명의 실리콘 전구체를 증착시키는 중요성을 입증시키기 위해서 진행되었다. 이하 표 9에서, 2,5-디실라헥산이 600℃의 온도 및 250 mTorr에서 다양한 분자 비의 산소와 반응되었다. 표 9에서 알 수 있는 바와 같이, 증착된 필름이 이들의 습식 에칭율(EWR)에 대해서 평가될 때, 산소가 1:1 비 미만으로 존재하는 산소에 대한 실리콘 전구체의 몰비로 산소를 사용하여 증착된 필름은 습식 에칭율에서 현저한 감소를 나타내고 있다.
표 9: 다양한 양의 산소와 반응된 2,5-디실라헥산
Figure 112010016365545-pat00009
★ 600℃의 반응기 온도 및 250 mTorr의 압력에서 가동
t 분당 표준 입방 센티미터(standard cubic centimeters per minute)
x 굴절 지수
y 습식 에칭율
이들 실험은 동일한 반응기 조건하에 1,4-디실라부탄으로 반복되었다. 이하 표 10에서 알 수 있는 바와 같이, 산소가 실리콘 전구체에 비해서 1:1 분자 비 미만으로 존재하는 때에 습식 에칭율에서의 동일한 극적 감소가 1,4-디실라부탄의 경우에 나타나고 있다.
표 10: 다양한 양의 산소와 반응된 1,4-디실라부탄
Figure 112010016365545-pat00010
★ 600℃의 반응기 온도 및 250 mTorr의 압력에서 가동
t 분당 표준 입방 센티미터
x 굴절 지수
y 습식 에칭율
표 10의 증착된 필름을 증착후 신속한 고온 열 어닐(RTA)에 가하였다. 또한, 이하 표 11에 보고된 바와 같이, 실리콘 전구체에 대해 1:1 분자 비 미만의 산소로 증착된 필름이 습식 에칭율에서의 극적인 감소를 나타냈다.
표 11: 다양한 양의 산소와 반응된 1,4-디실라부탄
Figure 112010016365545-pat00011
★ 600℃의 반응기 온도 및 250 mTorr의 압력에서 가동
t 분당 표준 입방 센티미터
x 굴절 지수
y 습식 에칭율

Claims (10)

  1. 기판의 하나 이상의 표면상에 유전 필름을 형성시키는 방법으로서,
    반응 챔버내에 기판의 하나 이상의 표면을 제공하는 단계;
    다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체를 제공하는 단계;
    실리콘 전구체에 대해서 1:1 비 미만의 분자의 양의 산소원을 제공하는 단계;
    화학 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해서 하나 이상의 표면상에 유전 필름을 형성시키는 단계; 및
    유전 필름을 1000℃까지의 고온 스파이크 어닐링에 가하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 하나 이상의 실리콘 전구체가
    1,3-디실라부탄 및 이의 유도체;
    1,4-디실라부탄 및 이의 유도체;
    1,4-디실릴벤젠 및 이의 유도체;
    1,3-디실릴벤젠 및 이의 유도체;
    1,3-디실라시클로부탄 및 이의 유도체;
    1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  3. 제 1항에 있어서, 화학식(I)의 하나 이상의 실리콘 전구체가 1,4-디실라부탄을 포함하는 방법.
  4. 제 1항에 있어서, 산소원이 산소를 포함하는 방법.
  5. 제 1항에 있어서, 산소원이 오존을 포함하는 방법.
  6. 제 1항에 있어서, 유전 필름이 실리콘 옥사이드, 실리콘 옥시니트라이드, 및 실리콘 옥시카바이드로 이루어진 군으로부터 선택되는 방법.
  7. 원자층 증착(ALD) 공정을 통해서 실리콘 옥사이드를 포함하는 유전 필름을 형성시키는 방법으로서,
    a. 기판을 ALD 반응기에 제공하는 단계;
    b. 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체를 ALD 반응기에 제공하는 단계;
    c. ALD 반응기를 불활성 가스로 퍼징(purging)하는 단계;
    d. 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 ALD 반응기에 제공하는 단계;
    e. ALD 반응기를 불활성 가스로 퍼징하는 단계;
    f. 요구되는 두께의 유전 필름이 얻어질 때까지 단계 b 내지 단계 e를 반복하는 단계; 및
    g. 유전 필름을 1000℃까지의 고온 스파이크 어닐링에 가하는 단계를 포함하는 방법.
  8. 제 7항에 있어서, 하나 이상의 실리콘 전구체가
    1,3-디실라부탄 및 이의 유도체;
    1,4-디실라부탄 및 이의 유도체;
    1,4-디실릴벤젠 및 이의 유도체;
    1,3-디실릴벤젠 및 이의 유도체;
    1,3-디실라시클로부탄 및 이의 유도체;
    1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  9. 화학 기상 증착(CVD) 공정을 이용하여 기판의 하나 이상의 표면상에 실리콘 옥사이드를 포함하는 유전 필름을 형성시키는 방법으로서,
    a. CVD 반응기에 기판을 제공하는 단계;
    b. 다음 화학식(I), 즉, R1 3Si-R2-SiR3 3(여기서, R2는 독립적으로 알킬기 및 아릴기로부터 선택되고, R1 및 R3은 각각 독립적으로 H, 알킬기, 아릴기, 알케닐기, 할로겐 원자, 및 알콕시기로부터 선택된다)을 지니는 실리콘-함유 전구체로부터 선택된 하나 이상의 실리콘 전구체를 CVD 반응기에 도입하는 단계;
    c. 실리콘 전구체에 대해 1:1 비 미만의 분자의 양으로 산소원을 CVD 반응기에 제공하는 단계;
    d. 하나 이상의 표면상에 실리콘 옥사이드 유전 필름을 형성시키는 단계; 및
    e. 유전 필름을 1000℃까지의 고온 스파이크 어닐링에 가하는 단계를 포함하는 방법.
  10. 제 9항에 있어서, 하나 이상의 실리콘 전구체가
    1,3-디실라부탄 및 이의 유도체;
    1,4-디실라부탄 및 이의 유도체;
    1,4-디실릴벤젠 및 이의 유도체;
    1,3-디실릴벤젠 및 이의 유도체;
    1,3-디실라시클로부탄 및 이의 유도체;
    1,4-디실라시클로헥산 및 이의 유도체; 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
KR1020100022983A 2009-03-13 2010-03-15 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법 KR101070953B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15993909P 2009-03-13 2009-03-13
US61/159,939 2009-03-13
US12/717,572 2010-03-04
US12/717,572 US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same

Publications (2)

Publication Number Publication Date
KR20100103436A KR20100103436A (ko) 2010-09-27
KR101070953B1 true KR101070953B1 (ko) 2011-10-06

Family

ID=42269962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100022983A KR101070953B1 (ko) 2009-03-13 2010-03-15 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법

Country Status (5)

Country Link
US (2) US8703624B2 (ko)
EP (1) EP2228465B1 (ko)
JP (2) JP2010219533A (ko)
KR (1) KR101070953B1 (ko)
TW (1) TWI466189B (ko)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
WO2012091097A1 (ja) * 2010-12-28 2012-07-05 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
MY162184A (en) * 2010-12-28 2017-05-31 Kirin Brewery Method for producing gas barrier plastic molded body
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI576242B (zh) * 2011-12-28 2017-04-01 Kirin Brewery Gas barrier plastic molded body and manufacturing method thereof
US8753985B2 (en) * 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
EP2823082B1 (en) * 2012-03-09 2024-05-15 Versum Materials US, LLC Barrier materials for display devices
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347548B2 (ja) * 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN107406978B (zh) * 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3682041B1 (en) * 2017-09-14 2022-04-20 Versum Materials US, LLC Methods for depositing silicon-containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US20220234903A1 (en) * 2019-05-24 2022-07-28 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
TW285753B (ko) * 1995-01-04 1996-09-11 Air Prod & Chem
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6936537B2 (en) * 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
JP5324734B2 (ja) 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080102206A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Multilayered coatings for use on electronic devices or other articles

Also Published As

Publication number Publication date
US8703624B2 (en) 2014-04-22
JP2013225695A (ja) 2013-10-31
JP2010219533A (ja) 2010-09-30
US20100233886A1 (en) 2010-09-16
US20140183706A1 (en) 2014-07-03
JP5650813B2 (ja) 2015-01-07
EP2228465B1 (en) 2018-05-23
TWI466189B (zh) 2014-12-21
TW201034079A (en) 2010-09-16
KR20100103436A (ko) 2010-09-27
EP2228465A1 (en) 2010-09-15

Similar Documents

Publication Publication Date Title
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
JP6480527B2 (ja) ケイ素含有膜を調製するための方法
US9905415B2 (en) Methods for depositing silicon nitride films
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
JP5788932B2 (ja) 酸素不含ケイ素系膜及びその形成方法
US11626279B2 (en) Compositions and methods for making silicon containing films
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (zh) 製備含矽膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190829

Year of fee payment: 9