JP2010219533A - 誘電体膜形成方法 - Google Patents

誘電体膜形成方法 Download PDF

Info

Publication number
JP2010219533A
JP2010219533A JP2010056413A JP2010056413A JP2010219533A JP 2010219533 A JP2010219533 A JP 2010219533A JP 2010056413 A JP2010056413 A JP 2010056413A JP 2010056413 A JP2010056413 A JP 2010056413A JP 2010219533 A JP2010219533 A JP 2010219533A
Authority
JP
Japan
Prior art keywords
derivatives
silicon
group
dielectric film
disilabutane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010056413A
Other languages
English (en)
Inventor
Yan Riu
ヤン リウ
Manchao Xiao
ジャオ マンチャオ
Kirk Scott Cuthill
スコット クティル キルク
Bing Han
ハン ビン
Mark Leonard O'neill
レオナルド オニール マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2010219533A publication Critical patent/JP2010219533A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【課題】ケイ素を含む誘電体膜を形成する方法を提供すること。
【解決手段】ここに記載されるのは、低いウェットエッチ耐性、6.0以下の誘電率、及び/又は高温急速熱アニールプロセス耐性、といった特性のうちの少なくとも1つを示す、酸化ケイ素、酸炭化ケイ素、炭化ケイ素及びこれらの組み合わせなどの、とは言えこれらに限定はされない、ケイ素を含む誘電体膜を形成する方法である。同様にここに開示されるのは、例えば半導体ウェーハなどの処理すべき対象物上に誘電体膜又は被覆を形成するための方法である。
【選択図】なし

Description

高速半導体デバイスのためには、ソース・ドレイン拡張部のトランジスタ飽和電流を増大させ短チャネル効果を削減するために、超浅接合、低シート抵抗及び階段側方接合(abrupt lateral junction)が重要である。このような浅く低シート抵抗の接合の形成を助けるために、過渡的増速拡散(TED)、固溶度及びチャネリングなどの問題を解決するべく低エネルギーの注入及びシャープなスパイクアニールが使用されてきた。
スパイクアニールは、典型的には、注入されたドーパントを有する半導体基材を急速熱処理(RTP)システムでの温度処理に付すことによって実施される。RTPを用いた典型的アニーリングプロフィールには、例えば1050℃といったターゲット温度までの上昇、(一般に「均熱時間」と呼ばれる)時間の間のターゲット温度での基材の均熱、及び例えば200℃といった基準温度への降下が必要である。
ウェーハはスパイクアニールプロセスを受けることから、アニーリングプロセスに対する材料の安定性、特により低い温度で被着された材料についての安定性は、デバイスの性能にとってきわめて重要であり得る。更に具体的には、かかる利用分野のために使用される誘電体膜は、比較的低いウェットエッチ速度(例えば希HFに曝露される場合)や、6.0以下の誘電率や、優れたウェーハ内の均一性、コンフォーマリティ又はそれらの組み合わせや、気相プロセス(例えば酸化プラズマなど)に対する耐性や、及び/又は同じ部類の類似の材料に比べ温度スパイクアニールプロセスに付された場合に特性及び膜構造の変化を比較的わずかしか乃至は全く示さない、といった特性のうちの1つ以上を示すべきである。
ここに記載されるのは、比較的低いウェットエッチ速度(例えば希HFに曝露された場合)や、6.0以下の誘電率や、優れたウェーハ内均一性、コンフォーマリティ又はそれらの組み合わせや、気相プロセス(例えば酸化プラズマなど)に対する耐性や、及び/又は同じ部類の類似の材料に比べ温度スパイクアニールプロセスに付された場合に特性及び膜構造の変化を比較的わずかしか乃至は全く示さない、といった特性のうちの少なくとも1つを示す、ケイ素を含む誘電体膜、例えば酸化ケイ素、酸窒化ケイ素、酸炭化ケイ素及びそれらの組み合わせなどの、ただしこれらに限定されるわけではない、誘電体膜を形成する方法である。同様にここに開示されるのは、例えば半導体ウェーハなどの処理すべき対象物上に誘電体膜又は被覆を形成するための方法である。
一つの態様においては、基材の少なくとも1つの表面上に誘電体膜を形成するための方法であって、反応チャンバ内に基材の少なくとも1つの表面を供給することと、次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)、を有するケイ素含有前駆体、好ましくは、
1,3−ジシラブタン及びその誘導体、
1,4−ジシラブタン及びその誘導体、
1,4−ジシリルベンゼン及びその誘導体、
1,3−ジシリルベンゼン及びその誘導体、
1,3−ジシラシクロブタン及びその誘導体、
1,4−ジシラシクロヘキサン及びその誘導体、
及びこれらの組み合わせ、
からなる群から選択される前駆体、から選択された少なくとも1種のケイ素前駆体と、分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源とから、化学気相成長法及び原子層堆積法より選択された被着法によって前記少なくとも1つの表面上に誘電体膜を形成することとを含む方法が提供される。
別の態様においては、原子層堆積法によりケイ素を含む誘電体膜を形成する方法であって、
a.ALD反応装置内に基材を供給する工程、
b.次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)、を有するケイ素含有前駆体から選択された少なくとも1種のケイ素前駆体であり、好ましくは、
1,3−ジシラブタン及びその誘導体、
1,4−ジシラブタン及びその誘導体、
1,4−ジシリルベンゼン及びその誘導体、
1,3−ジシリルベンゼン及びその誘導体、
1,3−ジシラシクロブタン及びその誘導体、
1,4−ジシラシクロヘキサン及びその誘導体、
及びこれらの組み合わせ、
からなる群から選択されるケイ素前駆体を、該ALD反応装置内に導入する工程、
c.該ALD反応装置をガスでパージする工程、
d.分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源を該ALD反応装置内に導入する工程、
e.該ALD反応装置をガスでパージする工程、及び
f.誘電体膜の所望の厚みが得られるまで工程b〜eを反復する工程、
を含む方法が提供される。
更なる態様においては、CVD法を用いて基材の少なくとも一表面上に酸化ケイ素を含む誘電体膜を形成する方法であって、
a.反応装置内に基材を供給すること、
b.次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)、を有するケイ素含有前駆体から選択された少なくとも1種のケイ素前駆体であり、好ましくは、
1,3−ジシラブタン及びその誘導体、
1,4−ジシラブタン及びその誘導体、
1,4−ジシリルベンゼン及びその誘導体、
1,3−ジシリルベンゼン及びその誘導体、
1,3−ジシラシクロブタン及びその誘導体、
1,4−ジシラシクロヘキサン及びその誘導体、
及びこれらの組み合わせ、
からなる群から選択されるケイ素前駆体を、該ALD反応装置内に導入すること、及び
c.分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源を供給して、該少なくとも1つの表面上に誘電体膜を被着させること、
を含む方法が提供される。
ここに記載されている方法によって作製され、少なくとも1種のケイ素含有前駆体として1,4−ジシラブタンを用いて被着された典型的な誘電体膜のスパイクアニールプロフィールである。 少なくとも1種のケイ素含有前駆体として1,4−ジシラブタンを用いて被着された誘電体膜についてのウェットエッチ速度に対する被着温度の効果を説明する図である。 1,4−ジラブタンを用いて被着された典型的膜及びビス(tert−ブチルアミノ)シラン(BTBAS)を用いて被着された比較用膜についてのフーリエ変換分光法(FTIR)スペクトルである。
ここに記載されるのは、比較的低いウェットエッチ速度(例えば希HFに曝露された場合)や、6.0以下の誘電率や、優れたウェーハ内均一性、コンフォーマリティ又はそれらの組み合わせや、気相プロセス(例えば酸化プラズマなど)に対する耐性や、及び/又は同じ部類の類似の材料に比べ温度スパイクアニールプロセスに付された場合に特性及び膜構造の変化を比較的わずかしか乃至は全く示さない、といった特性のうちの少なくとも1つを示す、ケイ素を含む誘電体膜と、その形成方法である。ここに記載される誘電体膜は、1つ以上の上記特性を示す一方、急速熱処理工程の前後で同じ特性を比較した場合に、20%以下又は15%以下又は10%以下又は5%以下の膜のウェットエッチ耐性、誘電性能、及び/又は膜構造を示す。
ここに記載されるのは、最高1000℃までの高温スパイクアニール中に上述の特性のうちの少なくとも1つ(例えばウェットエッチ耐性、誘電性能、及び/又はフィルム構造)をもたらす一方でそれを維持する誘電体膜を被着させる特定のケイ素含有前駆体、例えば1,4−ジシラブタン、1,3−ジシラブタン、1,3−ジシラシクロブタン、1,4−ジシラシクロヘキサン及び2.5−ジシラヘキサンなどであるが、ただしこれらに限定されるわけではない、ケイ素含有前駆体である。これらのケイ素含有前駆体は、被着中に所望の反応性を示し、そして一部の実施形態においては、膜の被着をより良好に制御するようにすることができ、例えば制御しやすい被着速度と膜の均一性をもたらすことができる。理論に束縛されることは望まないものの、これらの特別なケイ素含有前駆体を用いて被着された膜は、ウェットエッチ速度の有意な低下の可能性をもたらす場合もある酸化ケイ素、酸炭化ケイ素又は炭化ケイ素ネットワーク中に適切なレベル及びタイプの炭素を含有し、一定の誘電率値及び過渡的な高温スパイクアニールに対する安定性をなおも維持することができると考えられる。
誘電体膜又は被覆を形成するために用いられる方法は、被着プロセスである。ここに開示されている方法のための好適な被着プロセスの例としては、循環式CVD(CCVD)、MOCVD(有機金属CVD)、熱化学気相成長、プラズマ化学気相成長(「PECVD」)、高密度PECVD、光子支援CVD、プラズマ光子支援(「PPECVD」)、極低温化学気相成長、化学物質支援気相成長、ホットフィラメント化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの被着、及び低エネルギーCVD(LECVD)が含まれるが、これらに限定されるわけではない。一部の実施形態においては、金属含有膜を、プラズマALD(PEALD)又はプラズマ循環式CVD(PECCVD)法により被着させる。ここで使用される「化学気相成長法」という用語は、基材表面上で反応及び/又は分解して所望の被着物を生成する1種以上の揮発性前駆体に対して基材を曝露する任意の方法のことをいう。ここで使用される「原子層堆積法」という用語は、組成が変化する基材上に材料のコンフォーマルな膜を被着する自己制限的な(例えば各反応サイクルにおいて被着させる膜材料の量が一定である)逐次的な表面化学反応のことをいう。ここで使用される前駆体、反応物及び供給源は、時として「ガス」であるとして記載されることがあるが、前駆体は、気化、バブリング又は昇華によって反応装置内に不活性ガスを伴って又はこれを伴わずに直接移送される液体又は固体のいずれでもあり得るということが理解される。場合によっては、気化した前駆体はプラズマ発生器を通過することができる。一つ実施形態においては、誘電体膜はALD法を用いて被着される。別の実施形態では、誘電体膜はCCVD法を用いて被着される。更なる実施形態においては、誘電体膜は熱CVD法を用いて被着される。
一部の実施形態において、ここに開示された方法は、反応装置への導入前及び/又は導入の際に前駆体を切り離すALD又はCCVD法を使用することによって、前駆体の予備反応を回避する。これに関連して、ALD又はCCVD法などの被着技術が誘電体膜の被着に使用される。一つの実施形態においては、ALD法によって、ケイ素含有前駆体、酸素源又はその他の前駆体もしくは反応物の1種以上に対し交互に基材表面を曝露することにより膜を被着させる。膜の成長は、表面反応、各前駆体又は反応物のパルス長、及び被着温度の自己制限的制御により進行する。しかしながら、基材の表面がひとたび飽和状態になった時点で、膜成長は停止する。
前述のとおり、ここに開示された方法は、次の式I、すなわち、
1 3Si−R2−SiR3 3 (式I)
(この式中のR2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)を有するケイ素含有前駆体から選択される少なくとも1種のケイ素含有前駆体、好ましくは、1,3−ジシラブタン及びその誘導体、1,4−ジシラブタン及びその誘導体、1,4−ジシリルベンゼン及びその誘導体、1,3−ジシラシクロブタン及びその誘導体、1,4−ジシラシクロヘキサン及びその誘導体、からなる群から選択されるものを使用し、任意選択的に更なるケイ素含有前駆体、ケイ素前駆体のケイ素と比べて化学量論量よりも少ない酸素源又は反応物、任意選択的に還元剤、及び任意選択的に窒素源を使用して、誘電体膜を形成する。被着のための前駆体材料の選択は、結果として得られる所望の誘電体材料又は膜に依存する。例えば、前駆体材料は、その化学元素含有量、その化学元素の化学量論比、及び/又はCVD下で形成されて結果として得られる誘電体膜又は被覆に適するように選択することができる。前駆体材料はまた、費用、非毒性、取扱い性、室温で液相を維持する能力、揮発性、分子量などといったさまざまな他の特性に関して選択してもよい。
ここに開示されている方法の1つの実施形態においては、次の式I、すなわち、
1 3Si−R2−SiR3 3 (式I)
(この式中のR2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)を構成する少なくとも1種のケイ素含有前駆体を用いて、誘電体膜を形成する。
式Iにおいて、また明細書の全体を通して、「アルキル」という用語は、1〜20個、又は1〜12個、又は1〜6個の炭素原子を有する直鎖、分岐又は環状官能基を意味する。典型的なアルキル基には、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、ペンチル、ヘキシル、オクチル、デシル、ドデシル、テトラデシル、オクタデシル、イソペンチル及びtert−ペンチルが含まれるが、アルキル基はこれらに限定されるわけではない。
式Iにおいて、また明細書の全体を通して、「アリール」という用語は、6〜12個の炭素原子を有する環状官能基を意味する。典型的なアリール基としては、フェニル、ベンジル、トリル及びo−キシリルが挙げられるが,アリール基はこれらに限定されるわけではない。式Iにおいて、また明細書の全体を通して、「アルケニル基」という用語は、1つ以上の炭素−炭素二重重結合を有し、2〜20個、又は2〜12個、又は2〜6個の炭素原子を有する基を意味する。
式Iにおいて、また明細書の全体を通して、「アルコキシ」という用語は、酸素原子に連結されたアルキル基であって(例えばR−O)、1〜20個、又は1〜12個、又は1〜6個の炭素原子を有することができるものを意味する。典型的なアルコキシ基には、メトキシ(−OCH3)及びエトキシ基(−OCH2CH3)が含まれるが,アルコキシ基はこれらに限定されるわけではない。一部の実施形態においては、アルキル基、アリール基及び/又はアルコキシ基の1つ以上は置換されていても置換されていなくてもよく、すなわち水素原子に代って置換された1つ以上の原子又は原子群を有していてもよい。典型的な置換基としては、酸素、硫黄、ハロゲン原子(例えばF、Cl、I又はBr)、窒素、及びリンが挙げられるが、置換基はこれらに限定されるわけではない。
一部の実施形態においては、式Iを有する少なくとも1種のケイ素含有前駆体は、アルコキシ置換基及び/又は酸素原子を含む1つ以上の置換基を有する。これらの実施形態においては、被着プロセス中の酸素源の必要性を回避することができる。その他の実施形態では、式Iを有する少なくとも1種のケイ素含有前駆体はアルコキシ置換基を有し、酸素原子を含む1つ以上の置換基は同様に酸素源を使用する。
ここに記載された方法の別の実施形態においては、少なくとも1種のケイ素含有前駆体は1,3−ジシラシクロブタン及びその誘導体を含む。ここで使用される「その誘導体」という用語は、原化合物から誘導される化合物であって、1つ以上の水素原子、置換基、又はその両方が原化合物とは異なる基と交換され又は置換されている化合物を表している。
ここに記載された方法の更なる一実施形態において、少なくとも1種のケイ素含有前駆体は1,4−ジシラシクロヘキサン及びその誘導体を含む。
ここに記載された方法の更に別の実施形態において、少なくとも1種のケイ素含有前駆体は1,3−ジシラブタン及びその誘導体を含む。
ここに記載された方法の更なる実施形態において、少なくとも1種のケイ素含有前駆体は1,4−ジシラブタン及びその誘導体を含む。
ここに記載された方法の更に別の実施形態において、少なくとも1種のケイ素含有前駆体は1,4−ジシリルベンゼン及びその誘導体を含む。
ここに記載された方法の更に別の実施形態において、少なくとも1種のケイ素含有前駆体は1,3−ジシリルベンゼン及びその誘導体を含む。
一部の実施形態において、ここに記載されている方法は更に、上記の式Iを有するケイ素含有前駆体、1,3−ジシラシクロブタン及びその誘導体、1,4−ジシラシクロヘキサン及びその誘導体、1,3−ジシラブタン及びその誘導体、1,4−ジシラブタン及びその誘導体、1,3−ジシリルベンゼン及びその誘導体、1,4−ジシリルベンゼン及びその誘導体、並びにそれらの組み合わせ以外の、1種以上の更なるケイ素含有前駆体を含む。
更なるケイ素含有前駆体の例としては、有機ケイ素化合物、例えばシロキサン(例として、ヘキサメチルジシロキサン(HMDSO)及びジメチルシロキサン(DMSO))や、オルガノシラン(例として、メチルシラン、ジメチルシラン、ビニルトリメチルシラン、トリメチルシラン、テトラメチルシラン、エチルシラン、ジシリルメタン、2,4−ジシラペンタン、1,4−ジシラブタン、2,5−ジシラヘキサン、2,2−ジシリルプロパン、1,3,5−トリシラシクロヘキサン、及びこれらの化合物のフッ素化誘導体)や、フェニル含有有機ケイ素化合物(例として、ジメチルフェニルシラン及びジフェニルメチルシラン)や、酸素含有有機ケイ素化合物、例としてジメチルジメトキシシラン、1,3,5,7−テトラメチルシクロテトラシロキサン、1,1,3,3−テトラメチルジシロキサン、1,3,5,7−テトラシラ−4−オキソヘプタン、2,4,6,8−テトラシラ−3,7−ジオキソノナン、2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソノナン、オクタメチルシクロテトラシロキサン、[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン、1,3,5,7−テトラシラ−2,6−ジオキソシクロオクタン、ヘキサメチルシクロトリシロキサン、1,3−ジメチルジシロキサン、1,3,5,7,9−ペンタメチルシクロペンタシロキサン、ヘキサメトキシジシロキサン、及びこれらの化合物のフッ素化誘導体、が挙げられるが、更なるケイ素含有前駆体はこれらに限定されるわけではない。
被着方法に応じて、一部の実施形態においては、1種以上のケイ素含有前駆体は、所定のモル容量、すなわち約0.1〜約1000マイクロモルで、反応装置に導入することができる。この実施形態又はその他の実施形態において、ケイ素含有前駆体は、所定の時間、すなわち約0.001〜約500秒の間、反応装置に導入することができる。
上述したとおり、ここに記載された方法を用いて被着される誘電体膜は、酸素源、酸素を含む反応物又は前駆体を用いて、酸素の存在下で形成される。酸素源は、少なくとも1種の酸素源の形で反応装置に導入されてもよく、及び/又は、被着プロセスで用いられるその他の前駆体中に付随的に存在してもよい。好適な酸素源ガスとしては、例えば水(H2O)(例として、脱イオン水、精製水、及び/又は蒸留水)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、NO2、一酸化炭素(CO)、二酸化炭素(CO2)及びそれらの組み合わせを挙げることができる。一部の実施形態では、酸素源は、約1〜約2000平方立方センチメートル(sccm)又は約1〜約1000sccmの範囲内の流量で反応装置に導入される酸素源ガスを含む。酸素源は、約0.1〜約100秒の範囲内の時間、導入することができる。1つの特定の実施形態においては、酸素源は、温度が10℃以上の水を含む。膜をALD又は循環式CVD法によって被着させる実施形態では、前駆体パルスは0.01秒超のパルス持続時間を有することができ、そして酸素源は0.01秒未満のパルス持続時間を有することができる一方で、水パルスの持続時間は0.01秒未満であることができる。更に別の実施形態においては、パルス間のパージ持続時間は0秒と同じくらいに短いものであることができ、あるいは中間のパージなしに連続的にパルス送りされる。酸素源又は反応物は、被着されたままの誘電体膜に少なくともいくらかの炭素が保持されるように、ケイ素前駆体に対する比が1:1未満の分子の量でもって供給される。
ここに開示されている被着方法は、1つ以上のパージガスを必要とすることがあり得る。未消費の反応物及び/又は反応副生物を一掃するために使用されるパージガスは、前駆体と反応しない不活性ガスである。典型的な不活性ガスには、Ar、N2、He、ネオン、H2及びそれらの混合物が含まれるが、不活性ガスはこれらに限定されるわけではない。一部の実施形態においては、Arなどのパージガスを約10〜約2000sccmの範囲内の流量で約0.1〜1000秒間反応装置内に供給して、それにより反応装置内にとどまる可能性のある未反応材料及び副生物をパージする。
ここに記載されている方法の一部の実施形態においては、反応装置又は被着チャンバの温度は、周囲温度(例えば25℃)から約700℃までの範囲内にあることができる。ALD又はCVDでの被着のための典型的な反応装置温度としては、次に挙げる終点、すなわち、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675、又は700℃、のうちのいずれか1つ以上を有する範囲が挙げられる。特定の反応装置温度範囲の例としては、25℃〜375℃、又は75℃〜700℃、又は325℃〜675℃が挙げられるが、反応装置温度範囲はこれらに限定されるわけではない。この実施形態又はその他の実施形態において、圧力は約0.1Torrから約100Torr又は約0.1Torrから約5Torrの範囲内にあることができる。1つの特定の実施形態においては、誘電体膜を、100mTorrから600mTorrの範囲内の圧力で熱CVD法を用いて被着させる。別の特定の実施形態においては、誘電体膜を、1Torr以下の温度範囲でALD法を用いて被着させる。
ここに記載されている方法の一部の実施形態においては、反応装置又は被着チャンバ内の基材の温度は、周囲温度(例えば25℃)から約700℃までの範囲内にあることができる。ALD又はCVDでの被着のための典型的な基材温度としては、次の終点、すなわち、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675、又は700℃、のうちのいずれか1つ以上を有する範囲が挙げられる。特定の基材温度範囲の例としては、25℃〜375℃、又は75℃〜700℃、又は325℃〜675℃が挙げられるが、基材温度範囲はこれらに限定されるわけではない。一部の実施形態において、基材温度は、被着中の反応装置温度と同じであっても、又はそれと同じ温度範囲内にあってもよい。その他の実施形態においては、基材温度は被着中の反応装置温度と異なる。
前駆体、酸素源、及び/又はその他の前駆体、供給源ガス、及び/又は反応物を供給するそれぞれの工程は、結果として得られる誘電体膜の化学量論的組成を変更するためそれらを供給する時間を変更することにより行うことができる。
反応を誘発し基材上に誘電体膜又は被覆を形成するため、前駆体、酸素源、還元剤、その他の前駆体又はそれらの組み合わせのうちの少なくとも1つにエネルギーが加えられる。かかるエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、及び遠隔プラズマ法によって(ただしこれらに限定されるわけではない)、供給することができる。一部の実施形態においては、基材表面におけるプラズマ特性を変更するために、二次的RF周波数源を使用することができる。被着にプラズマが関与する実施形態においては、そのプラズマ発生プロセスは、プラズマを反応装置内で直接発生させる直接プラズマ発生型プロセス、あるいはまた、プラズマを反応装置外で発生させて反応装置内に供給する遠隔プラズマ発生型プロセスを含むことができる。
ケイ素含有前駆体及び/又はその他の前駆体は、さまざまな方法でCVD又はALD反応装置などの反応チャンバへ送給することができる。一つの実施形態においては、液体送給システムを利用することができる。別の実施形態においては、液体送給とフラッシュ蒸発プロセスの組み合わせユニット、例えばミネソタ州ShoreviewのMSPコーポレーション製のターボ気化器を利用して、低揮発性材料を容積式に送給できるようにし、前駆体が熱により分解することのない再現可能な移送と被着を行うようにしてもよい。液体の送給においては、ここに記載されている前駆体を原液の形で送給してもよく、あるいはまた、溶媒配合物又はそれを含む組成物でもって利用してもよい。かくして、一部の実施形態においては、前駆体配合物は、基材上に膜を形成するための所与の最終用途において望ましく且つ有利であり得るように好適な特性の溶媒成分(単数又は複数)を含むことができる。
ここに記載された方法の一つの実施形態においては、CCVD、ALD又はPEALDなどの循環式被着プロセスを使用することができ、その場合、式Iを有するケイ素含有前駆体、1,3−ジシラシクロブタン及びその置換基、1,4−ジシラシクロヘキサン及びその置換基、並びにそれらの組み合わせから選択される少なくとも1種のケイ素含有前駆体、そして任意選択的に酸素源、例えばオゾン、酸素プラズマ又は水プラズマなど、を使用する。
前駆体キャニスタから反応チャンバに接続するガス管路は、プロセス必要条件に応じて1つ以上の温度まで加熱され、式Iを有するケイ素含有前駆体の容器は、バブリングのための1つ以上の温度に保たれるのに対して、式Iを有するケイ素含有前駆体、1,3−ジシラシクロブタン及びその置換基、1,4−ジシラシクロヘキサン及びその置換基、並びにそれらの組み合わせから選択された少なくとも1種のケイ素含有前駆体を含む溶液は、直接の液体の注入のために1つ以上の温度に保たれた蒸発装置内に注入される。
アルゴン及び/又はその他のガスの流れを、前駆体をパルス送りする際に少なくとも1種のケイ素含有前駆体の蒸気を反応チャンバに送給するのを助けるためのキャリアガスとして使用してもよい。一部の実施形態においては、反応チャンバのプロセス圧力は約1Torrである。
典型的なALD又はCCVD法においては、酸化ケイ素基材などの基材を最初にケイ素含有前駆体に曝露されている反応チャンバ内の加熱ステージ上で加熱して、複合体(complex)が基材の表面に化学的に吸着できるようにする。
アルゴンなどのパージガスが、吸収されていない余剰の複合体をプロセスチャンバから一掃する。充分なパージ後に、反応チャンバ内に酸素源を導入して吸収された表面と反応させ、その後別のガスパージを行ってチャンバから反応副生物を除去してもよい。プロセスサイクルを、所望の膜厚を得るため繰り返すことができる。
この実施形態又はその他の実施形態において、ここに記載されている方法の工程はさまざまな順序で実施してよく、逐次的に又は同時に(例えば別の工程の少なくとも一部分の間に)、及びこれらの任意の組み合わせの形で、実施してもよいということが理解される。前駆体及び酸素源ガスを供給するそれぞれの工程は、結果として得られる誘電体膜の化学量論的組成を変更するためそれらの供給時間の長さを変えることによって実施してもよい。
ここに開示される別の実施形態において、誘電体膜は、次の工程、すなわち、
a.次の式I、すなわちR1 3Si−R2−SiR3 3という式を有するケイ素含有前駆体(この式中のR2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)から選択され、好ましくは、
1,3−ジシラブタン及びその誘導体、
1,4−ジシラブタン及びその誘導体、
1,4−ジシリルベンゼン及びその誘導体、
1,3−ジシリルベンゼン及びその誘導体、
1,3−ジシラシクロブタン及びその誘導体、
1,4−ジシラシクロヘキサン及びその誘導体、
及びこれらの組み合わせ、
からなる群から選択される、少なくとも1種のケイ素前駆体を導入する工程、
該少なくとも1種のケイ素前駆体を基材上に化学吸着させる工程、
パージガスを用いて未反応の少なくとも1種のケイ素含有前駆体を一掃する工程、
吸着した少なくとも1種のケイ素含有前駆体と反応させるため加熱された基材上に、分子の量がケイ素前駆体に対する比で1:1よりも少ない酸素源を供給する工程、及び
任意選択的に、未反応の酸素源を全て一掃する工程、
を含むALD被着方法を用いて形成される。
上述の工程は、ここに記載されている方法のための1つのサイクルを規定しており、このサイクルは誘電体膜の所望の厚みが得られるまで反復することができる。この実施形態又はその他の実施形態において、ここに記載されている方法の工程はさまざまな順序で実施してよく、逐次的にか又は同時に(例えば別の工程の少なくとも一部分の間に)、及びこれらの任意の組み合わせの形で、実施してもよいということが理解される。前駆体及び酸素源を供給するそれぞれの工程は、結果として得られる誘電体膜の化学量論的組成を変更するためそれらの供給時間の長さを変えることによって実施されてよいが、酸素は常に、利用可能なケイ素との関係において化学量論的量より少ない量で使用される。
多成分誘電体膜の場合は、その他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、還元剤、又はその他の反応物を、交互に反応装置チャンバに導入することができる。ここに記載されている方法の更なる実施形態では、誘電体膜を熱CVD法を用いて被着させる。この実施形態において、該方法は、周囲温度から約700℃の範囲の温度に加熱され1Torr以下の圧力に維持された反応装置内に1つ以上の基材を入れること、次の式I、すなわち、R1 3Si−R2−SiR3 3という式を有するケイ素含有前駆体(この式中のR2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子、及びアルコキシ基から独立して選択される)から選択され、好ましくは、
1,3−ジシラブタン及びその誘導体、
1,4−ジシラブタン及びその誘導体、
1,4−ジシリルベンゼン及びその誘導体、
1,3−ジシリルベンゼン及びその誘導体、
1,3−ジシラシクロブタン及びその誘導体、
1,4−ジシラシクロヘキサン及びその誘導体、
及びこれらの組み合わせ、
からなる群から選択される、少なくとも1種のケイ素前駆体である少なくとも1種のケイ素含有前駆体を導入すること、及び、酸素源をケイ素前駆体に対する比が1:1よりも小さい分子量でもって反応装置内に供給して、1つ以上の基材上に誘電体膜を被着させることを含み、導入工程中は反応装置を100mTorrから600mTorrの範囲内の圧力に維持する。
一部の実施形態においては、結果として得られる誘電体膜又は被覆を、プラズマ処理、化学的処理、紫外線曝露、電子ビーム曝露、及び/又は膜の1つ以上の特性に影響を及ぼすためのその他の処理などの、とは言えそれらに限定はされない、被着後処理に付すことができる。
ここに記載されている誘電体膜は6以下の誘電率を有する。好ましくは、膜は、約5以下、又は約4以下、又は約3.5以下の誘電率を有する。
前述のように、ここに記載されている方法を用いて、基材の少なくとも一部分に誘電体膜を被着させることができる。好適な基材の例としては、ケイ素、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化した炭化ケイ素、窒化ケイ素、水素化した窒化ケイ素、炭窒化ケイ素、水素化した炭窒化ケイ素、ホウ窒化物、反射防止コーティング、フォトレジスト、有機ポリマー、多孔質有機及び無機材料、銅やアルミニウムなどの金属、そして例えばTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNなどの、とは言えそれらに限定はされない、拡散バリア層が挙げられるが、基材はこれらに限定されるわけではない。膜は、例えば化学機械的平担化(CMP)や異方性エッチングプロセスなどの、さまざまな後続する加工工程との相性がよい。
被着された誘電体膜には、コンピュータチップ、光学デバイス、磁気情報記憶装置、担持材料又は基材上の被膜、マイクロエレクトロメカニカルシステム(MEMS)、ナノエレクトロメカニカルシステム、薄膜トランジスタ(TFT)、及び液晶ディスプレイ(LCD)を含めた、とは言えこれらには限定されない、用途がある。
以下の例は、ここに記載されている誘電体膜を作製するための方法を例示するものであって、いかなる形であれそれを限定することは意図されていない。
以下の例においては、別途記載のない限り、特性は、中程度の抵抗率(8〜12Ωcm)の単結晶シリコンウェーハ基材上に被着された試料膜から得られた。
以下の例においては、誘電体膜の厚みと光学的特性、例えば屈折率などは、Film Tek 2000SE楕円偏光測定装置で測定した。データフィッティングのためには、法線入射(入射角=0°)における分光反射データを用いる。使用された光の波長範囲は、200nm〜900ナノメートル(nm)の間である。SiO2の吸光係数(k)は光の波長が200nmと4000nmの間にある場合ゼロであり、SiO2の分散は周知であることから、データは高周波誘電率についてのみフィッティングされる。誘電体膜の厚み及び屈折率は、膜からの反射データを予め設定された物理モデル(例えばローレンツオシレータモデル)にフィッティングすることによって得ることができる。フィッティングの適合性を判定するためにRMSE(2乗平均平方根誤差)を使用し、測定結果を信頼性あるものとみなすためにはこの値が1%未満でなくてはならない。
膜の化学組成の特徴は、多重チャンネルプレート検波器(MCD)及びAl単色X線源が備わったPhysical Electronics 5000VersaProbe XPSスペクトロメータを用いて調べられる。XPSデータは、AlkαX線励起(25mA及び15kV)を用いて収集される。低解像度探査スペクトルは、117eVのパスエネルギー、50ミリセカンドの滞留時間、1.0eV/工程で収集される。高解像度領域のスペクトルは、23.5eVのパスエネルギー、50msecの滞留時間、0.1eV/工程で収集される。解析範囲は45°の取出し角で100μmである。
高解像度領域のスペクトルからピーク面積を測定し、透過関数補正済み原子感度係数を適用することにより、定量元素分析を判定した。データ収集にはPHI Summittソフトウェアを使用し、データ解析のためにはCasaXPSソフトウェアを使用する。エッチ速度は、203nmSiO2/Siに対して較正され、およそ120Å/分である。
エッチ試験は1wt%のHF(脱イオン(DI)水中)溶液で実施する。典型的な誘電体膜を30秒間HF溶液中に入れ、その後DI水中で洗い流し、乾燥させてから、エッチング中の材料損失について再度測定する。比較例として、既知の一定したエッチ速度を有する2つの比較用熱処理酸化ケイ素膜、又はビス(tert−ブチルアミノ)シラン(BTBAS)及びビス(イソプロピルアミノ)ビニルメチルシラン膜を使用し、特性を調べるべき膜とともに同じカセット内に入れて同時にエッチングした。この膜を、比較用酸化ケイ素膜とともに、エッチング前後に上述の楕円偏光測定装置と方法を用いて膜表面全域にわたり異なる9箇所でそれらの厚みについて測定を行う。次に、厚みの減少分を膜がHF溶液中に浸されている時間で除したものとして、エッチ速度を計算する。
DTGS KBR検出器及びKBrビームスプリッタを備えたThermo Nicolet Nexus 470システムを用いて、ウェーハのフーリエ赤外分光(FTIR)データを収集した。スペクトルからCO2及び水を除去するため、類似の中程度の抵抗率ウェーハでバックグラウンドスペクトルを収集した。4cm-1の解像度で32回の走査データを収集することにより、4000〜400cm-1の範囲内のデータを得た。OMNICソフトウェアパッケージを使用してデータを処理した。全ての膜のベースラインを補正し、強度を500nmの膜厚に正規化し、そしてOMNICソフトウェアを用いて関心対象のピーク面積と高さを測定した。
各試料膜の誘電率を、ASTM標準規格D150−98に従って測定した。誘電率kは、MDC 802B−150水銀プローブを用いて測定したC−V曲線から計算される。それは、試料を保持し測定すべき膜に電気接点を形成するプローブステージ、Keithley 236ソースメータ及びC−V測定用のHP4284A LCRメータで構成される。比較的低い電気抵抗率(0.02Ω・cm未満のシート抵抗)を有するSiウェーハを用いてC−V測定用の膜を被着させる。膜に対し電気接点を形成するために前面接触モードが用いられる。2つの導電性接点を形成するために、貯蔵器から細い管を通してウェーハの表面に液体金属(水銀)を押し出す。水銀を押し出す管の直径に基づいて、接触面積を計算する。その後、誘電率を次の式から計算する。
k=(静電容量)×(接触面積)/膜厚
単一ウェーハを精確に制御した温度で短時間加熱するために、強力可視光放射を用いるRTP−610を使用して、スパイクアニール処理を実施した。900℃より高い温度について、パイロメータにより温度を監視し制御する。この作業で使用されるスパイクアニールプロフィール、すなわち200℃/秒の速度での室温から1000℃まで上昇し、2秒間1000℃で保持し、そして室温までの自由に降温するというプロフィールを、図1に示す。アニーリングはN2雰囲気下で実施した。
〔例1〕高温急速アニール前後の膜特性
600℃の被着温度、250mTorrの圧力、12スタンダード立方センチメートル(sccm)の1,4−ジシラブタン前駆体流量、及び10sccmの酸素O2流量という被着条件の下で、100mmの管状炉を用い、前駆体1,4−ジシラブタンを使用してシリコンウェーハ上に典型的な酸化ケイ素誘電体膜を被着させた。
高温急速アニール前後の膜のウェットエッチ速度、誘電率及び厚みを表1及び表2に提示する。表2からわかるように、1,4−ジシラブタンを用いて作られた典型的な膜は、その優れたウェット耐性と低い誘電率を維持しながら、高温急速アニール(又はスパイクアニール)に耐えることができる。図1は、ここに記載されている方法により作製され少なくとも1種のケイ素含有前駆体として1,4−ジシラブタンを用いて被着された典型的な誘電体膜についてのスパイクアニールプロフィールを提示している。表2も、膜厚が受ける変化が急速アニール後にやはりきわめてわずかなことを示している。
図2は、少なくとも1種のケイ素含有前駆体として1,4−ジシラブタンを用いて被着された誘電体膜についてウェットエッチ速度に対する被着温度の効果を図示している。図2から、被着温度が500℃未満に低下するにつれて、エッチ抵抗が急速に低下することがわかる。
Figure 2010219533
Figure 2010219533
スパイクアニール処理を受けた典型的誘電体膜及びスパイクアニール処理を受けなかった典型的誘電体膜のX線光電子分光(XPS)スペクトルを、表3に要約して提示する。表3からわかるように、スパイクアニールの前後で炭素含有量に有意な差異はない。このことは、少なくとも1種のケイ素含有前駆体として1,4−ジシラブタンを用いて被着された典型的膜では、スパイクアニール処理に起因して、炭素の損失が非常にわずかしかないということを示唆するものであろう。
Figure 2010219533
〔例2〕ビス(tert−ブチルアミノ)シラン(BTBAS)及びビス(イソプロピルアミノ)ビニルメチルシランを用いて被着された比較用誘電体膜
前駆体ビス(tert−ブチルアミノ)シラン(BTBAS)及びビス(イソプロピルアミノ)ビニルメチルシランを用いて比較用酸化ケイ素誘電体膜をシリコンウェーハ上に被着させた。
比較用誘電体膜の高温急速熱アニールの前後のウェットエッチ速度、誘電率及び厚みを、BTBAS及びビス(イソプロピルアミノ)ビニルメチルシランについてそれぞれ表4〜5及び表7〜8に提示する。BTBASを用いて被着されスパイクアニール処理を受けた及びスパイクアニール処理を受けていない比較用誘電体膜のXPSスペクトルを、要約して表6に提示する。
Figure 2010219533
Figure 2010219533
Figure 2010219533
Figure 2010219533
Figure 2010219533
〔例3〕1:1未満のケイ素前駆体:酸素源分子比
本発明のケイ素前駆体を、分子ベースでそのケイ素前駆体と1:1未満の比となる量の、反応において利用可能な酸素源の存在下で被着させることが極めて重要であることを実証するための実験を行なった。下記の表9では、2,5−ジシラヘキサンをいろいろな分子比の酸素と、600℃の温度及び250mTorrで反応させた。表9に見られるように、被着されたままの膜をウェットエッチ速度(WER)の評価に付した場合、酸素が1:1未満の比で存在するケイ素前駆体対酸素モル比で酸素を用いて被着したままの膜は、ウェットエッチ速度の著しい低下を示している。
Figure 2010219533
これらの実験を、同じ反応装置条件下で1,4−ジシラブタンを用いて反復した。下記表10に示したとおり、ケイ素前駆体との関係において1:1未満の分子比で酸素が存在する場合に同じ劇的なウェットエッチ速度低下が1,4−ジシラブタンについて示されている。
Figure 2010219533
表10の被着されたままの膜を、被着後急速高温熱アニール(RTA)に付した。ここでもまた、下記表11で報告されるように、ケイ素前駆体に対し1:1未満の分子比の酸素を用いて被着された膜は、ウェットエッチ速度の劇的な低下を示した。
Figure 2010219533

Claims (10)

  1. 基材の少なくとも1つの表面に誘電体膜を形成するための方法であって、
    反応チャンバ内に基材の少なくとも1つの表面を供給すること、
    次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、基、ハロゲン原子及びアルコキシ基から独立して選択される)、を有するケイ素含有前駆体から選択された少なくとも1種のケイ素前駆体を供給すること、
    分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源を供給すること、及び
    化学気相成長法及び原子層堆積法から選択された被着法により前記少なくとも1つの表面上に誘電体膜を形成すること、
    を含む誘電体膜形成方法。
  2. 前記少なくとも1種のケイ素前駆体を、
    1,3−ジシラブタン及びその誘導体、
    1,4−ジシラブタン及びその誘導体、
    1,4−ジシリルベンゼン及びその誘導体、
    1,3−ジシリルベンゼン及びその誘導体、
    1,3−ジシラシクロブタン及びその誘導体、
    1,4−ジシラシクロヘキサン及びその誘導体、
    及びこれらの組み合わせ、
    からなる群より選択する、請求項1に記載の方法。
  3. 式Iを有する前記少なくとも1種のケイ素前駆体が1,4−ジシラブタンを含む、請求項1に記載の方法。
  4. 前記酸素源が酸素を含む、請求項1に記載の方法。
  5. 前記酸素源がオゾンを含む、請求項1に記載の方法。
  6. 前記誘電体膜が、酸化ケイ素、酸窒化ケイ素、及び酸炭化ケイ素からなる群から選択される、請求項1に記載の方法。
  7. 原子層堆積(ALD)法により酸化ケイ素を含む誘電体膜を形成する方法であって、
    a.ALD反応装置内に基材を供給する工程、
    b.次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)を有するケイ素含有前駆体から選択された少なくとも1種のケイ素前駆体を、該ALD反応装置内に供給する工程、
    c.該ALD反応装置を不活性ガスでパージする工程、
    d.分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源を該ALD反応装置内に供給する工程、
    e.該ALD反応装置を不活性ガスでパージする工程、及び
    f.誘電体膜の所望の厚みが得られるまで工程b〜eを反復する工程、
    を含む誘電体膜形成方法。
  8. 前記少なくとも1種のケイ素前駆体を、
    1,3−ジシラブタン及びその誘導体、
    1,4−ジシラブタン及びその誘導体、
    1,4−ジシリルベンゼン及びその誘導体、
    1,3−ジシリルベンゼン及びその誘導体、
    1,3−ジシラシクロブタン及びその誘導体
    1,4−ジシラシクロヘキサン及びその誘導体、
    及びこれらの組み合わせ、
    からなる群より選択する、請求項7に記載の方法。
  9. 化学気相成長(CVD)法を用いて基材の少なくとも1つの表面上に酸化ケイ素を含む誘電体膜を形成する方法であって、
    a.反応装置内に基材を供給すること、
    b.次の式I、すなわちR1 3Si−R2−SiR3 3という式(この式中、R2はアルキル基及びアリール基から独立して選択され、R1及びR3は各々、H、アルキル基、アリール基、アルケニル基、ハロゲン原子及びアルコキシ基から独立して選択される)、を有するケイ素含有前駆体から選択された少なくとも1種のケイ素前駆体を、該CVD反応装置内に導入すること、
    c.分子の量が該ケイ素前駆体に対する比で1:1よりも少ない酸素源を該CVD反応装置内に供給すること、及び
    d.該少なくとも1つの表面上に酸化ケイ素誘電体膜を形成すること、
    を含む誘電体膜形成方法。
  10. 前記少なくとも1種のケイ素前駆体を、
    1,3−ジシラブタン及びその誘導体、
    1,4−ジシラブタン及びその誘導体、
    1,4−ジシリルベンゼン及びその誘導体、
    1,3−ジシリルベンゼン及びその誘導体、
    1,3−ジシラシクロブタン及びその誘導体、
    1,4−ジシラシクロヘキサン及びその誘導体、
    及びこれらの組み合わせ、
    からなる群より選択する、請求項9に記載の方法。
JP2010056413A 2009-03-13 2010-03-12 誘電体膜形成方法 Withdrawn JP2010219533A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15993909P 2009-03-13 2009-03-13
US12/717,572 US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013141595A Division JP5650813B2 (ja) 2009-03-13 2013-07-05 誘電体膜形成方法

Publications (1)

Publication Number Publication Date
JP2010219533A true JP2010219533A (ja) 2010-09-30

Family

ID=42269962

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010056413A Withdrawn JP2010219533A (ja) 2009-03-13 2010-03-12 誘電体膜形成方法
JP2013141595A Active JP5650813B2 (ja) 2009-03-13 2013-07-05 誘電体膜形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013141595A Active JP5650813B2 (ja) 2009-03-13 2013-07-05 誘電体膜形成方法

Country Status (5)

Country Link
US (2) US8703624B2 (ja)
EP (1) EP2228465B1 (ja)
JP (2) JP2010219533A (ja)
KR (1) KR101070953B1 (ja)
TW (1) TWI466189B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012091097A1 (ja) * 2010-12-28 2012-07-05 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
WO2012091095A1 (ja) * 2010-12-28 2012-07-05 麒麟麦酒株式会社 ガスバリア性プラスチック成形体の製造方法
JP2013102130A (ja) * 2011-10-21 2013-05-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014183218A (ja) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置及びプログラム
JP2016027674A (ja) * 2012-04-12 2016-02-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素薄膜の高温原子層堆積
JP2017201692A (ja) * 2016-05-06 2017-11-09 エーエスエム アイピー ホールディング ビー.ブイ. SiOC薄膜の形成
JP2018506185A (ja) * 2015-02-06 2018-03-01 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2018078326A (ja) * 2012-03-09 2018-05-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ディスプレイ・デバイスのためのバリア材料
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI576242B (zh) * 2011-12-28 2017-04-01 Kirin Brewery Gas barrier plastic molded body and manufacturing method thereof
US8753985B2 (en) * 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347548B2 (ja) * 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6777754B2 (ja) * 2016-02-26 2020-10-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US20220234903A1 (en) * 2019-05-24 2022-07-28 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102617960B1 (ko) 2019-08-12 2023-12-26 삼성전자주식회사 2-스텝 갭-필 공정을 이용하여 반도체 소자를 형성하는 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05195228A (ja) * 1991-09-18 1993-08-03 Air Prod And Chem Inc 低温化学蒸着法
JPH08274088A (ja) * 1995-01-04 1996-10-18 Air Prod And Chem Inc 二酸化ケイ素の非常に低い温度の化学蒸着法
JP2002110670A (ja) * 2000-04-19 2002-04-12 Applied Materials Inc 酸化プラズマを用いた低誘電率膜の堆積方法
JP2003007699A (ja) * 2001-05-23 2003-01-10 Air Products & Chemicals Inc 低誘電率材料およびcvdによる処理方法
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)
JP2006519496A (ja) * 2003-02-26 2006-08-24 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜の生成方法。
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936537B2 (en) * 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080102206A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Multilayered coatings for use on electronic devices or other articles

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05195228A (ja) * 1991-09-18 1993-08-03 Air Prod And Chem Inc 低温化学蒸着法
JPH08274088A (ja) * 1995-01-04 1996-10-18 Air Prod And Chem Inc 二酸化ケイ素の非常に低い温度の化学蒸着法
JP2002110670A (ja) * 2000-04-19 2002-04-12 Applied Materials Inc 酸化プラズマを用いた低誘電率膜の堆積方法
JP2003007699A (ja) * 2001-05-23 2003-01-10 Air Products & Chemicals Inc 低誘電率材料およびcvdによる処理方法
JP2004312041A (ja) * 2001-05-23 2004-11-04 Air Products & Chemicals Inc 低誘電率材料およびcvdによる処理方法
JP2006519496A (ja) * 2003-02-26 2006-08-24 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜の生成方法。
JP2006216541A (ja) * 2005-01-21 2006-08-17 Internatl Business Mach Corp <Ibm> 誘電体材料、相互接続構造、電子構造、電子センシング構造および該製作方法(改良された靭性および改良されたSi−C結合を有するSiCOH誘電体材料、該誘電体材料を含む半導体デバイスおよび該誘電体材料の製作方法)
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012091097A1 (ja) * 2010-12-28 2012-07-05 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
WO2012091095A1 (ja) * 2010-12-28 2012-07-05 麒麟麦酒株式会社 ガスバリア性プラスチック成形体の製造方法
US8932676B2 (en) 2010-12-28 2015-01-13 Kirin Beer Kabushiki Kaisha Method for producing gas barrier plastic molded body
JP5684288B2 (ja) * 2010-12-28 2015-03-11 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
JP5695673B2 (ja) * 2010-12-28 2015-04-08 麒麟麦酒株式会社 ガスバリア性プラスチック成形体の製造方法
JP2015092027A (ja) * 2010-12-28 2015-05-14 麒麟麦酒株式会社 ガスバリア性プラスチック成形体
US9410245B2 (en) 2010-12-28 2016-08-09 Kirin Beer Kabushiki Kaisha Gas-barrier plastic molded product and manufacturing process therefor
JP2013102130A (ja) * 2011-10-21 2013-05-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2018078326A (ja) * 2012-03-09 2018-05-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ディスプレイ・デバイスのためのバリア材料
JP2016027674A (ja) * 2012-04-12 2016-02-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素薄膜の高温原子層堆積
US9548198B2 (en) 2013-03-19 2017-01-17 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2014183218A (ja) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置及びプログラム
JP2018506185A (ja) * 2015-02-06 2018-03-01 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2019220713A (ja) * 2015-02-06 2019-12-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2022031313A (ja) * 2015-02-06 2022-02-18 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP7048548B2 (ja) 2015-02-06 2022-04-05 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
JP2017201692A (ja) * 2016-05-06 2017-11-09 エーエスエム アイピー ホールディング ビー.ブイ. SiOC薄膜の形成
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces

Also Published As

Publication number Publication date
KR101070953B1 (ko) 2011-10-06
JP5650813B2 (ja) 2015-01-07
TWI466189B (zh) 2014-12-21
EP2228465A1 (en) 2010-09-15
US20100233886A1 (en) 2010-09-16
EP2228465B1 (en) 2018-05-23
US20140183706A1 (en) 2014-07-03
TW201034079A (en) 2010-09-16
KR20100103436A (ko) 2010-09-27
JP2013225695A (ja) 2013-10-31
US8703624B2 (en) 2014-04-22

Similar Documents

Publication Publication Date Title
JP5650813B2 (ja) 誘電体膜形成方法
JP6480527B2 (ja) ケイ素含有膜を調製するための方法
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
EP3491167B1 (en) Compositions and methods using same for carbon doped silicon containing films
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
TWI504775B (zh) 不含氧的矽基膜及其形成方法
CN117265512A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI721588B (zh) 含矽膜的高溫原子層沉積
TWI431147B (zh) 製備含矽膜的方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121002

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121005

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130705

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130712

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20130726