JP2004312041A - 低誘電率材料およびcvdによる処理方法 - Google Patents

低誘電率材料およびcvdによる処理方法 Download PDF

Info

Publication number
JP2004312041A
JP2004312041A JP2004200926A JP2004200926A JP2004312041A JP 2004312041 A JP2004312041 A JP 2004312041A JP 2004200926 A JP2004200926 A JP 2004200926A JP 2004200926 A JP2004200926 A JP 2004200926A JP 2004312041 A JP2004312041 A JP 2004312041A
Authority
JP
Japan
Prior art keywords
film
fluorine
gas
group
membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004200926A
Other languages
English (en)
Other versions
JP2004312041A5 (ja
Inventor
Mark Leonard O'neill
マーク レオナルド オニール
Brian Keith Peterson
ブライアン キース ピーターソン
Jean Louise Vincent
ルイス ビンセント ジーン
Raymond Nicholas Vrtis
レイモンド ニコラス バーティス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2004312041A publication Critical patent/JP2004312041A/ja
Publication of JP2004312041A5 publication Critical patent/JP2004312041A5/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/12Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • C03C14/008Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix the non-glass component being in molecular form
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Ceramic Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 低い誘電率および改良された機械的性質、熱的安定性および耐薬品性を有する薄膜材料を提供する。
【解決手段】 好適な膜は式Sivwxyz(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、yは10〜50原子%、xは2〜30原子%、およびzは0.1〜15原子%)で表わされ、実質的にフッ素は炭素に結合されていない。CVD法は、(a)真空チャンバ内に基板を用意すること;
(b)フッ素を供給するガス、酸素を供給するガス、ならびにオルガノシランおよびオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体ガスを含むガス状試薬を真空チャンバに導入すること;ならびに
(c)該チャンバ内のガス状試薬にエネルギーを加えてガス状試薬の反応を引き起こさせ、そして基板上に膜を形成させること、を含む。
【選択図】 図3

Description

本発明は低誘電率材料およびCVDによる処理方法に関する。
エレクトロニクス産業は、回路ならびに集積回路および関連するエレクトロニクスデバイスの間の絶縁層として誘電材料を用いる。線寸法はマイクロエレクトロニクスデバイス(たとえば、コンピュータチップ)の速度および記憶能力を増加させるために低減されている。マイクロチップの寸法は過去10年間でさえ、有意の低減を経験したので、従来1μmより大きい線幅(line width)は0.18μmに低減し、図板(drawing boards)上の将来の計画は少なくとも0.07μmもの低さである。遅延時間の式T=1/2RCL2(Tは遅延時間、Rは導電線の抵抗、Cは絶縁層のキャパシタンス、そしてLはワイア長さ)は寸法を変化させる効果を規定するのに使用されることが多く、そして材料は回路において信号の伝播を帯びている。キャパシタンスはC=k0k(S/d)として示され、ここでk0は真空誘電率(vacuum permitivity)もしくは誘電率(dielectric constant)(1.0に等しい)、kは薄膜についての誘電率、Sは電極表面積、およびdは膜の厚さである。このように、kの低減はCの比例的低減および、したがって遅延時間の低減をもたらす。さらに、線寸法が減少するにつれて、比較的低い誘電率を有するもっと良好な絶縁材料は性能に否定的な効果を与えうる、チップ成分間の信号クロスオーバー(akaクロストーク)を防止する必要がある。
歴史的に、4.2〜4.5の誘電率(k)を有するシリカが層間絶縁膜(ILD)として用いられてきた。しかし、0.25μm以下の線寸法では、シリカはもはや受け入られ得ず、そしてkが約3.6であるフッ素化シリカガラス(FSG)のような、他の材料に広く代替されてきた。未ドープのシリカのk値を低減させることを特に目的とするシリカへのフッ素の添加は過去数年間検討されている(たとえば、米国特許第5,571,576、5,661,093、5,700,736、5,703,404、5,827,785および5,872,065号明細書を参照)。フッ素の高電気陰性度は非常に非分極性の種を生じさせ、誘電率を低減する。フッ素化シリカは産業界に受け入れられ、現世代のICのために用いられている。
フッ素化シリカ材料は非常に高温(500℃まで)に耐えるのに必要な熱的および機械安定性を有するので、材料の性質(たとえば、低水吸収、機械的性質)は、大量のフッ素が材料に配合されるとき傷つけられやすい。2.0以下までの非常に低いk値を有するにもかかわらずポリ(テトラフルオロエチレン)のようなフッ素化有機材料は、集積回路の製造に含まれる次の処理段階の間に出会う温度に十分な安定性を示さなかった。一般に有機ポリマーは現在の条件下の処理に対して十分な機械的強度を有さない、そのうえ、フルオロカーボンポリマーは不十分な接着、高温での金属との潜在的反応、およびある場合には高温での不十分な剛性、のような他の不利益を有しうる。所望の性質の特徴および低誘電率値を得るために、有機ドーパントおよび無機フッ素種の両方を配合する、シリカにもとづく絶縁膜はFSGよりも低いk値、およびオルガノシリカガラス(OSG)材料よりも良好な熱的および機械的性質を備え得るが、IC製造における層間/金属間材料として作用する必要な特性を維持する。
もっと最近、OSGはFSGに対する代替として求められている。OSG材料はCVD法により製造される種類の将来の層間/金属間絶縁膜として勧められている。数多くの特許が約2.7〜3.2のk値を有する薄膜の製造のための種々のオルガノシランの使用を含んで発行されている(たとえば、米国特許第5,989,998、6,054,379、6,072,227、6,147,009および6,159,871号ならびにWO99/41423号参照)。OSG薄膜絶縁材料はFSGに対してその本質的に低いk(<3.2)により将来のICのためのいくつかの主なOEMにより商業化され、広告されている。しかし、kの低減は、有機種が有するのが通常である、低下した機械的性質、熱的安定性および耐薬品性を含む有害な作用に対して調和されなければならない。検討は、OSGについての好適な材料特性は2.8〜3.2の範囲に誘電率を限定し、モジュラス/硬さ値は9〜11/1.2〜1.4GPaの範囲であることを示した(Leeらの198th Meeting of The Electrochemical Society,Oct.2000,Section H−1,Abstract No.531;およびGoldenらのMICRO,31頁、2001年2月を参照)。
いくつかの最近の文献および特許は、炭素ドープしたFSG材料の使用を提案した。これらの例の大部分はシリコン前駆体源と組合わせて前駆体としてフルオロカ−ボン材料を広く用い、そしてシリカもしくはFSGの骨組みにフルオロカ−ボン部分を導入する。たとえば、Shirafujiらはヘキサメチルジシロキサンをオクタフルオロブテン(Plasmas and Polymers,4(1)(57〜75)1999年3月)もしくはテトラフルオロエチレン(38 Jpn.J.Appl.Phys.4520〜26(1999))とプラズマ共重合させて、kが2.0から3.3に増加し、フルオロカ−ボン含量の増加したフルオロカーボン/SiO複合膜を製造した。Yunら(341(1,2)Thin Solid Films 109〜111(1999))は、トリエトキシフルオロシランおよびO2を用いてヘリコンプラズマ反応器で製造されたSiOF膜へのフルオロカーボン添加の効果を検討する。
シリカにおけるフルオロカーボン部分の特定の混在のもう1つの例は、Kimらの1998 IEEE International Conference On Conduction and Breakdown in Solid Dielectrics 229〜32(1998)の研究であり、実質的にシリカのkから材料のkを低減させるためのフルオロカーボン添加能を説明する。Kimらの研究は、2%シラン/N2プラズマにおいてCF4の使用によりフルオロカーボン部分を特に導入することを目的としているようにみえ、ケイ素、酸素、炭素、フッ素、およびNを含有する膜を生成させ、そこではそれらはSi−C、Si−N、Si−OおよびC−F官能性を認め得た。さらに、彼等はその組成には深さ方向に特徴(depth profile)があり、それによると表面は大部分(the bulk)よりも酸素が多いことを見出した。
Maedaらの米国特許第5,800,877号は、フッ素含有二酸化ケイ素膜を製造するための熱処理において、Si−F結合を有するオルガノシラン前駆体およびSi−F結合のないオルガノシラン前駆体の混合物を、オゾンもしくは酸素とともに使用することを記述する。この特許の特許請求の範囲は、酸素および/または窒素プラズマ後処理を伴う熱処理によりフッ素含有二酸化ケイ素の製造を包含する。この特許は膜へのアルキル基もしくは炭素の導入を記載していない。
Hasegawaらの論文(37 Jpn.J.Appl.Phys.4904〜09(1998))において、フッ化ガラスの高められた耐水性は、プラズマ増強CVD系においてシラン、酸素、CF4およびアンモニアの混合物を使用する堆積のための動機付けであった。堆積膜は、XPSスペクトル解析により、有意の量のSi−NおよびC−F結合を含有することが見出された。Si−Nの導入による耐水性の向上はk値には否定的に作用する。
上述と同一グループによる同様な研究において、Lubgubanら(337Thin Solid Films 67−70(1999)、606 Materials Research Society Symposium Proceedings 57(2000)、および87(8)Journal of Applied Physics 3715−22(2000))は、耐水性を向上させるためにPE(プラズマ増強)−CVDによりフルオロシリケートガラスへの炭素の導入を検討する。材料はシランもしくはTEOS、酸素、メタンおよびペルフルオロメタンから合成され、そして組成、熱安定性、および電気的性質について検討された。Lubgubanらは、SiO2ネットワークへの炭素およびフッ素両方の導入が誘電率を低減することを提案する。反応の間に堆積チャンバに導入されるメタン量の増加は最終材料の炭素およびフッ素の増加を生じさせ、C−F官能性による有意の寄与により引起されているといわれる。彼等の論文に記載されるように、C−FおよびC−H種の存在は耐水吸収性を促進し、誘電率を低減させるのを助ける。
富士通(株)による日本特許(特開平10−150036号)において、スピンコート法により堆積された有機ケイ素材料は、膜にフルオロカーボン種の生成により、耐熱性を増加させ、水吸収を低減させ、そして膜の材料信頼性を増加させるためにプラズマ反応器中でF2もしくはNF3による後堆積処理を受けた。他の富士通(株)の特許(特開昭58−21499号および特開平11−111712号)もフルオロカーボン基を含有するケイ素にもとづく前駆体を用いて、プラズマCVDにより配合されたフルオロカーボン種を有するシリカ膜の生成について検討する。
内田らは改良された耐湿性のためのフッ素化有機シリカ膜を開示する。たとえば、98(3)Electrochem.Soc.163−8(1998)、37Jpn.J.Appl.Phys.6369−73(1998)、38Jpn.J.Appl.Phys.2368−72(1999)および特開平11−111714号を参照されたい。これらの論文等において、著者はFSGおよびOSGの性質は補足的であり得るので、両方の官能性を有する材料はその強度を利用しうることを指摘するが、支持するデータはほとんど示されていない。著者は、有機ケイ素材料がHおよびOHのないシリカ膜を製造するために、tert−メチルアミン(TMA)、テトライソシアナート−シラン(TICS)、ジメチルジイソシアナート−シラン(DMSIC)および好ましくはジメチルエチルアミン(DMA)の混合物から熱処理で堆積された方法を説明することにより、この表明した利点を示そうと試みている。この堆積したフィルムはイソシアナ−ト種をフッ素で置換するために、熱処理において、HFで後処理され、そしてもっと低い誘電率およびもっと良好な耐湿性を有する膜が生成された。しかし生成された膜はC−SiおよびC−F官能性を含んでいた。さらに、化学的後処理のような拡散にもとづく処理に一般的であるように、膜の深さにより生じる組成勾配を生じた。この態様で膜の化学的修飾の量および均一性を調節するのは本来的に困難である。
Usamiの米国特許第6,077,574号は特定量のフッ素および炭素でドープされたプラズマCVD酸化ケイ素絶縁膜を生成する方法を開示し、そこでは膜は、フッ素濃度および炭素濃度を互いに独立して調節しうる供給ガス混合物から堆積される。これらの膜における原子比([炭素]/[フッ素])は4.0×1021フッ素原子/ccから1.0×1022フッ素原子/cc、および3.0×1019炭素原子/ccから1.0×1021炭素原子/ccの開示された濃度範囲にもとづいて、0.25以下である。膜内に生成された官能性に関しては何のデータも示されていない。6.6×1022原子/ccに等しいシリカの密度約2.2g/ccを与えると、フッ素および炭素の濃度は約6〜15原子%フッ素、および約0.05〜1.5原子%炭素であると見積もられうる。
前述の進展にもかかわらず、集積回路において低k絶縁材料を集積するために優れている、所望の機械的、誘電、熱および酸化安定性を首尾よく組合わせる従来技術の例は存在しなかった。
ここに引用されるすべての文献は引用により全体をここに組入れられる。
本発明は、低い誘電率および改良された機械的性質、熱的安定性および耐薬品性を有する薄膜材料を提供することを目的とする。
本発明は、有意な量のフルオロカーボン種を除いて、有機種および無機フッ素の両方を含有する炭素ドープしたフルオロシリケートガラス(すなわち、CFSGもしくはOFSG−オルガノフルオロシリケートガラス)の膜を提供する。
もっと、具体的には、本発明の好適な膜は式Sivwxyz(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、yは10〜50原子%、xは2〜30原子%、およびzは0.1〜15原子%)で表わされ、実質的にフッ素は炭素に結合されていないで表わされる。
さらに、式Sivwxyz(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、yは10〜50原子%、xは1〜30原子%、およびzは0.1〜15原子%)で表わされ、x/z>0.25であり実質的にフッ素は炭素に結合されていない、膜が提供される。
さらに本発明は、このような膜を製造するための化学的気相堆積法を提供し、
a.真空チャンバ内に基板を用意すること;
b.フッ素を供給するガス、酸素を供給するガス、ならびにオルガノシランおよびオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体ガスを含むガス状試薬を真空チャンバに導入すること;ならびに
c.該チャンバ内のガス状試薬にエネルギーを加えてガス状試薬の反応を引き起こさせ、そして基板上に膜を形成させること、を含む。
そして、さらにオルガノシランの化学的気相堆積によりオルガノシリカガラスを製造するための改良された方法を提供し、その改良は無機フッ素源から少なくとも1部のオルガノシランとともに無機フッ素を共堆積することを含む。
本発明の好適な態様は、OSG材料に関して低い誘電率および改良された機械的性質、熱的安定性および耐薬品性(酸素、水性環境等)を有する薄膜材料を提供する。これは有意の量の有機フッ素(たとえば、C−F結合)を生成しないで、炭素(炭化ケイ素でありうるが、好ましくは主に有機炭素−CHx(xは1〜3)の形態で)および無機フッ素(たとえば、Si−F結合)の膜への導入の結果である。このように、最終的な薄膜材料は好適には、Si−O,Si−F,C−HおよびSi−C結合構造を含み、実質的に、そしてもっと好ましくは全く、C−F結合を含まず、そして好適には大部分の水素は炭素に結合される。たとえばSi−H、C−OおよびO−Hのような他の官能性の比較的少ない部分も、本発明の特定の膜に存在しうる。
このように、本発明の好適な態様は:(a)約10〜約35原子%、もっと好ましくは約20〜約30原子%のケイ素;(b)約10〜約65原子%、もっと好ましくは約20〜約40原子%の酸素;(c)約10〜約50原子%、もっと好ましくは約20〜約40原子%の水素;(d)約1〜約30原子%、もっと好ましくは約5〜約25原子%の炭素;ならびに(e)約0.1〜約15原子%、もっと好ましくは約0.5〜約7.0原子%のフッ素を含む。他の元素の比較的少ない部分も本発明のある膜に存在しうる。
FSGおよびOSG材料の両方は、誘電率が産業で伝統的に用いられている標準的な材料−シリカガラスよりも低いので低k物質であると考えられる。膜への無機フッ素および有機炭素両方のドープの組合わせは最終材料のkに連結効果をもたらす。これは異なる方法でそれ自体明白である。たとえば、膜はOSG材料のようなかなりの機械的性質を有しうるが比較的低いkを有し、またはかなりのkを有しうるが優れた機械的性質をもつ。シリカ膜へのメチル基の導入は膜にナノ多孔性を与え得、膜のkを低減させるのを助けるが、さらに膜の機械的性質も低減しうる。本発明の膜は約1〜約30原子%または約2〜約30原子%の炭素を含有するのが好適であり、大部分の水素は炭素に結合されている。好適には、C−H官能性の一部はメチル基にある。一般に、多孔質の導入は材料の誘電率を低減する効果的方法である。多孔質の付加は膜の機械的および熱伝達性(たとえば、示量性(extensive property))に作用するが、膜の固有の化学的もしくは熱的安定性を変えない。膜のある態様はシリカに関してナノ多孔性である。PE−CVD TEOSで製造されるシリカは陽電子消滅寿命分光法(PALS)分析により測定される固有の自由体積(free volume)細孔径が相当球径約0.6nmである。小角中性子散乱(SANS)もしくはPALSで測定される本発明の細孔径は相当球径が好ましくは5nm未満、もっと好ましくは相当球径が2.5nm未満である。
好ましくは、本発明の膜は2.0g/ccより小さい、あるいは1.5g/ccより小さい密度を有する。このような低密度はガス状試薬に多孔質発生源(porogen)を添加することにより、および/または堆積材料を後処理することにより達成されうる。
本発明の膜はOSG材料に関して改良された性質を有する。与えられたOFSGは当量の化学量論的OSG材料よりも優れた機械的性質を有するが、OSG材料においてフッ素を欠いている。たとえば、本発明のOFSG材料の好適な態様は3.5未満、もっと好ましくは3.0未満の誘電率を有する。ある態様において、膜は2.8〜3.2の範囲の誘電率を有し、10GPaより大きい弾性率、および/または1.5GPaより大きいナノ押込み硬さ(nanoindentation hardness)を有する。
本発明の膜は熱的に安定であり、良好な耐薬品性を有する。特に、膜はN2中で425℃の等温下に1.0wt%/hrより小さい平均減量、および/または空気中で425℃の等温下に1.0wt%/hrより小さい平均減量を有する。
膜は種々の用途に適している。特に膜は半導体基板上の堆積に適しており、そしてたとえば、集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャッピング層、化学的機械平坦化(CMP)もしくはエッチングストップ層、バリア層(たとえば絶縁層に望ましくない金属、水もしくは他の材料の拡散に対して)または接着層としての用途に特に適する。膜は適合した被覆を形成しうる。これらの膜により示される機械的性質は、Alサブストラクティブ(subtractive)法およびCuダマシン(damascene)法における使用にそれらを特に適合させる。
膜は化学的機械平坦化および異方性エッチングに適合し、そしてシリコン、SiO2、Si34、OSG、FSG、炭化ケイ素、反射防止被覆、フォトレジスト、有機ポリマー、多孔質有機および無機材料、銅およびアルミニウムのような金属および金属バリア層のような種々の材料に接着しうる。
本発明は膜を供給するのに特に適し、そして本発明の生成物は膜としてここで主として説明されるが、本発明はこれらに限定されない。本発明の生成物は被覆、多層アセンブリ、ならびに必ずしも平面もしくは薄くはない他の種類の対象物、そして集積回路で必ずしも使用されない多数の対象物のように、CVDによって堆積されうるいかなる形態でも供給され得る。
本発明の生成物に加えて、本発明は生成物が製造される方法、ならびにその生成物を使用する方法を含む。
ある態様において、発明は化学的気相堆積法によりOSGを堆積するための改良された方法を含み、無機フッ素源は有機フッ素が実質的にない膜を生成するためのOSG材料の少なくとも1部の堆積の間に無機フッ素を堆積する。このように本発明は、従来の、現代の、そして将来の方法を改良するのに用いられ得、米国特許第6,054,379、6,147,009および6,159,871号ならびにWO99/41423を含む。改良された方法により製造される生成物は、従来法で製造された生成物に関して改良された性質を享受する。好ましくは、膜の少なくとも1つの機械的性質は、少なくとも10%増加し、膜の熱的安定性は増加し、膜の化学的安定性は増加し、および/または膜の環境安定性は増加する。
低誘導率を有する膜を得るための方法は:
(a).真空チャンバ内に基板を用意すること;
(b).フッ素を供給するガス、酸素を供給するガス、ならびにオルガノシランおよびオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体ガスを含むガス状試薬を真空チャンバに導入すること;ならびに
c.該チャンバ内のガス状試薬にエネルギーを加えてガス状試薬の反応を引き起こさせ、そして基板上に膜を形成させること、
の段階を含む。
好適には基板は半導体である。
オルガノシランおよびオルガノシロキサンは好適な前駆体ガスである。適切なオルガノシランおよびオルガノシロキサンは、たとえば:(a)一般式R1 nSiR2 4-nで示されるアルキルシラン、ここでnは1〜3の整数;R1およびR2は独立して、少なくとも1つの分枝もしくは直鎖C1〜C8のアルキル基(たとえば、メチル、エチル)、C3〜C8置換もしくは非置換シクロアルキル基、C2〜C10の部分置換アルキル基(たとえば、プロペニル、ブタジエニル)、C6〜C12の置換もしくは非置換芳香族(たとえば、フェニル、トリール)、アルコキシ基(たとえば、メトキシ、エトキシ、フェノキシ)を含有する対応する線状、分枝、環状、部分非置換アルキル、もしくは芳香族、ならびにあるいはR2は水素化物である(たとえば、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロへキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルシエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、トリメチルフェノキシシランおよびフェノキシシラン);(b)式R1(R2 2SiO)nSiR2 3で示される線状オルガノシラン(nは1〜10の整数である)または式(R12SiO)nで示される環状オルガノシラン(nは2〜10の整数である)(ここでR1およびR2は上述のとおりである)(たとえば1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1,1,2,2−テトラメチルジシロキサンおよびオクタメチルトリシロキサン);そして(c)式R2(SiR12n2で示される線状オルガノシランオリゴマー(nは2〜10の整数である)、または式(SiR12nで示される環状オルガノシランオリゴマー(nは3〜10の整数)(ここでR1およびR2は上述のとおりである)(たとえば、1,2−ジメチルジシラン、1,1,2,2−テトラメチルジシラン、1,2−ジメチル−1,1,2,2−ジメトキシジシラン、ヘキサメチルジシラン、オクタメチルトリシラン、1,2,3,4,5,6−ヘキサフェニルヘキサシラン、1,2−ジメチル−1,2−ジフェニルジシランおよび1,2−ジフェニルジシラン)。
ある態様において、オルガノシラン/オルガノシロキサンは環状アルキルシラン、環状アルコキシシランであるか、または一対のSi原子の間に少なくとも1つのアルコキシもしくはアルキル架橋を含み、たとえば1,2−ジシラノエタン、1,3−ジシラノプロパン、ジメチルジシラシクロブタン、1,2−ビス(トリメチルシロキシ)シクロブテン、1,1−ジメチル−1,−シラ−2,6−ジオキサシクロへキサン、1,1−ジメチル−1−シラ−2−オキサシクロへキサン、1,2−ビス(トリメチルシロキシ)エタン、1,4−ビス(ジメチルシリル)ベンゼンまたは1,3−(ジメチルシリル)シクロブタンである。
ある態様において、オルガノシラン/オルガノシロキサンは、エポキシド、カルボン酸塩、アルキン、ジエン、フェニルエチニル、ひずんだ環状基、およびトリメチルシルアセチレン、1−(トリメチルシリル)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、トリメチルシリルアセテートおよびジ−tert−ブトキシジアセトキシシランのようなオルガノシラン/オルガノシロキサンを立体的に妨害またはひずませうるC4〜C10の基からなる群より選ばれる反応性側基を含有する。
好適なフッ素を供給するガスは、膜で終わるいかなるF−C結合(すなわち、炭素に結合したフッ素)を欠く。このように好適なフッ素を供給するガスは、たとえばSiF4、NF3、F2、HF、SF6、ClF3、BF3、BrF3、SF4、NF2Cl、FSiH3、F2SiH2、F3SiH、オルガノフルオロシランおよびそれらの混合物を含み、オルガノフルオロシランはいかなるF−C結合をも含有しない。さらに、好適なフッ素を供給するガスは上述のアルキルシラン、アルコキシシラン、線状および環状オルガノシロキサン、線状および環状オルガノシランオリゴマー、環状および架橋オルガノシラン、および反射性側基を有するオルガノシランを含み、フッ素原子はケイ素置換基の少なくとも1つで置換されているので、少なくとも1つのSi−F結合がある。もっと詳しくは、適切なフッ素を供給するガスは、たとえば、フルオロトリメチルシラン、ジフルオロジメチルシラン、メチルトリフルオロシラン、フルオロトリエトキシシラン、1,2−ジフルオロ−1,1,2,2−テトラメチルジシランもしくはジフルオロジメトキシシランを含む。
適切な酸素を供給するガスは、たとえば、O2、N2O、オゾン、過酸化水素、NO、NO2、N24もしくはそれらの混合物を含む。
単1の種の分子が、前駆体ガス、酸素を供給するガス、およびフッ素を供給するガスの1つ以上として作用することは本発明の範囲内である。すなわち、前駆体ガス、酸素を供給するガス、およびフッ素を供給するガスは必ずしも3つの異なるガスでなくてもよい。たとえば、ケイ素、炭素、酸素およびフッ素を与えるためにジメトキシメチルフルオロシランを使用することは可能である。さらに、前駆体ガスおよび酸素を供給するガス(たとえばテトラエトキシシラン、トリメチルシリルアセテート、もしくはジメトキシジメチルシランであり、それぞれは炭素、酸素およびケイ素を与える)として作用する単1のガスを使用し、前駆体ガスおよびフッ素を供給するガス(たとえば、炭素、フッ素およびケイ素を与えるトリメチルフルオロシラン)として作用する単1のガス等を使用することも可能である。いずれの場合も、C−F官能性を含む試薬の使用を避けるのが好ましい。
「ガス状試薬」という用語は試薬を説明するのにここで用いられることがあるが、その用語は、反応器にガスとして、直接に供給され、気化した液体、昇華した固体として供給され、および/または反応器に不活性キャリアガスにより輸送された、試薬を包含する。
ある態様において、異なるオルガノシランおよび/またはオルガノシロキサンの混合物は一緒に用いられる。さらに、多数の異なる、フッ素を供給するガスの組合わせ、および/または多数の異なる、酸素を供給する剤の組合わせを、異なるオルガノシランおよび/またはオルガノシロキサンの組合わせとともに、もしくは個別に用いることも本発明の範囲内である。しかも、非フッ素化オルガノシラン(炭素を与えるために)とともに、フッ素化オルガノシラン(フッ素および/または炭素を与えるために)を使用することも本発明の範囲内である。
フッ素を供給するガス、酸素を供給するガスおよびオルガノシラン/オルガノシロキサンに加えて、堆積反応前に、中に、および/または後に、追加の材料が真空チャンバに装入されうる。このような材料は、たとえば不活性ガス(たとえば、He,Ar,N2,Kr,Xe等であり、比較的揮発性の小さい前駆体のためのキャリアガスとして必要とされ得、および/または堆積されたままの材料のアニ−リングを促進し、もっと安定な最終的な膜を与えうる)、ならびにガスもしくは液体の有機物質、NH3,H2,CO2もしくはCOのような反応性物質を含む。たとえばCH4,C26,C24,C22,C38,ベンゼン、ナフタレン、トルエンおよびスチレンのような有機物質は本発明の膜に混在して炭素を与える。
エネルギーはガスを反応させ、基板上に膜を形成させるためにガス状試薬に加えられる。このようなエネルギーは、たとえば、熱、プラズマ、パルスプラズマ、へリコンプラズマ、高密度プラズマ、誘導結合(inductively coupled)プラズマ、および遠隔プラズマ法により供給されうる。2次的なrf周波数源は基板表面でプラズマ特性を変性するために用いられうる。好適には、膜はプラズマ増強化学的気相堆積で形成される。13.56MHzの周波数で、容量結合型(capacitively coupled)プラズマを発生させるのが特に好適である。プラズマのパワーは基板の表面積にもとづいて、好ましくは0.02〜7W/cm2、もっと好ましくは0.3〜3W/cm2である。
ガス状試薬のそれぞれの流速は単1の200mmウェハに関し、好ましくは10〜5000sccm,もっと好ましくは200〜2000sccmである。個々の流速は膜中に、フッ素、炭素等の所望の量および比を与えるように選択される。必要とされる実際の流速はウェハの大きさおよびチャンバの形態に依存し得、200mmウェハもしくは単1のウェハチャンバに決定して限定されない。
少なくとも50nm/分の堆積速度で膜を堆積するのが好適である。堆積時の真空チャンバの圧力は、好ましくは0.01〜600torr,もっと好ましくは1〜10torrである。
オルガノシラン前駆体としてトリメチルシランを用いることにもとづく本発明の好適な処方は、200mmシリコンウェハ基板に関して下の表1に示される。
Figure 2004312041
本発明方法は高い流量で単一の処理段階で達成されるのが好ましい。いかなる理論にも縛られたくないが、本発明の方法は、Uchidaらにより教示されるような多段階の後処理フッ素化法と異なり、膜の全断面にわたって、もっと均一な無機フッ素の分布を有する膜を提供する。加えて、本発明の膜中に存在する取るに足りない量の有機フッ素(ここで「取るに足りない」(”insubstantial”)は、5%未満の全フッ素含量、もっと好ましくは全フッ素含量の1%未満であると、この目的のために規定される)も膜の全断面にわたって比較的均一に分布され、中心に集中していない。
多くの場合において、単1の処理段階が好適であるが、堆積後にフィルムを後処理することも本発明の範囲である。このような後処理は、たとえば、熱処理、プラズマ処理および化学的処理の少なくとも1つを含みうる。
膜は0.002〜10μmの厚さに堆積されるのが好適であるが、厚さは必要に応じて変動されうる。非パターン表面に堆積されるブランケット膜は、優れた均一性を有し、適度な周辺排除を伴う基板についての1標準偏差にまさって2%より少ない厚さ変動を有する。ここでは、たとえば、基板の10mmの最外周辺は均一の統計的な計算には含まれない。
膜の気孔率は嵩密度とともに増加され得、対応して減少して材料の誘電率をさらに低減させ、そして次世代(たとえばk<2.5)へのこの材料の適用性も拡げる。
本発明は次の例によりさらに詳細に説明されるが、本発明はこれらに限定されないことが理解されるべきである。
例1〜20
すべての実験は、非ドープTEOSプロセスキットを用いて、Advance Energy 2000rf発生器を固定した200mmDxZ チャンバ内でApplied Materials Precision−5000システムにより実施された。その処方は次の基礎段階を含んでいた:ガス流、堆積、およびウェハ除去に先行するチャンバのパージ/真空排気についての初期セットアップおよび安定化。つづいて、チャンバの清浄化がその場のC26+O2を用いて各堆積後に実施され、ついでチャンバの乾燥(seasoning)段階であった。
誘電率は低比抵抗のP−型ウェハについてHgプローブ法を用いて測定され、機械的性質はMTS Nano Indenterを用いて測定され、熱安定性およびオフガス生成物はMIDAC赤外分光計(TGA−IR)に結合されたThermo TA Instruments 2050 TGAを用いた熱重量分析により測定された。13C−NMRデータはBruker ASX−200により得られ、組成データはPhysical Electronics 5000LSを用いたX線光電子分光法(XPS)により得られた。
比較例1〜6は、米国特許第6,159,871および6,054,379号、ならびにWO99/41123にしたがって実施され、そして下の表2に一覧表にされる。
Figure 2004312041
本発明により生成された、いくつかの異なる膜についての物理的検討は下の表3に一覧表にされる(注:水素の原子%は表3についてXPSで測定されていない。)。
Figure 2004312041
厚さおよび屈折率はSCI Filmtek 2000 Reflectometerで、5点平均により測定された。接着性はテープ引っ張り試験で測定された。ヤング率およびナノ押込み硬さはMTS Nanoindenterで測定された。XPSによる元素分析は30秒のArスパッタ後に測定された。表に示される原子%値は水素を含まない。
図1および2はN2下で5時間、ついで空気による、425℃の等温TGAを示す。これらの図は不活性(N2)雰囲気下でOSG型の材料より少し優れている。しかし、外界雰囲気を空気に代えると、劇的で急激な減量がOSG材料についてみられるが、本発明材料の膜は優れた安定性を示す。
図3および4は425℃での等温後に、1000℃までの窒素もしくは空気中におけるTGA走査を示す。これらの図は、空気中で1000℃までの走査によるOSG(図4に示される)に対して、本発明の膜(例16、図3に示される)の熱安定性を示す。OSG材料は窒素中で10℃/分で走査されるとき、約400℃でのはるかに急速減量開始を示すのに対し、本発明の膜は、空気中で10℃/分で走査されるとき、約470℃でのみ開始し、長い時間にわたってはるかに少ない急速減量を示す。
図5は空気中での425℃等温での、例16およびOSGの膜のIRプロフィルを示す。本発明の膜(点線プロフィル)がCO2と水のみを失うのに対し、OSG材料(実線プロフィル)は実質的に比較的大量のCO2ならびに水およびCH4を失うのをこの図は示す。試料から放出されるフッ素化有機材料(材料が所有すれば期待される)は何ら示されなかった。
図6は例16の膜の13C−NMRスペクトルを示すが、有機フッ素の目にみえる徴候(−CH2Fについて約+90ppmから−CF3について約+150ppmまでの徴候を示すことが予測される)はみられない。この方法によりみられる炭素の唯一の形態は、ケイ素に結合された炭素であり、約0ppmを中心とする応答を示す。
このように、本発明は膜およびこのような膜を製造するための方法を提供し、膜は実質的に、もしくは完全にC−F結合を含まず、OSG材料に対して低誘電率、ならびに改良された機械的性質、熱安定性、および耐薬品性(酸素、水性環境等に対する)を有する。
例21
オルガノシラン前駆体として1,3,5,7−テトラメチルシクロテトラシロキサンを用いることにもとづく本発明の見込みある例が、200mmシリコンウェハに関して下の表4に示される。
Figure 2004312041
予測されるk値は2.8〜3.0の範囲にあり、ヤング率は約15GPa,そしてナノ押込み硬さは約2GPaである。
オルガノシラン前駆体としてジメチルジメトキシシラン(DMDMOS)を用いることにもとづく本発明の見込みのある例は、200mmシリコンウェハに関して下の表5に示される。
Figure 2004312041
予測されるk値は2.8〜3.0の範囲にあり、ヤング率は約15GPa,そしてナノ押込み硬さは約2GPaである。
オルガノシラン前駆体としてジエトキシメチルシラン(DEMS)を用いることにもとづく本発明の見込みのある例は、200mmシリコンウェハに関して下の表6に示される。
Figure 2004312041
予測されるk値は2.8〜3.0の範囲にあり、ヤング率は約15GPa,そしてナノ押込み硬さは約2GPaである。
本発明はその特定の例について詳しく説明されたが、種々の変更および修正が本発明の精神および範囲を逸脱しないでなされうることは当業者に明らかであろう。
本発明の膜の1態様についての等温TGAを示す。 従来技術の膜についての等温TGAを示す。 本発明の膜の1態様についてのTGA走査を示す。 従来技術の膜についてのTGA走査を示す。 本発明の膜の1態様および従来技術の膜についてのIRプロフィルを示す。 本発明の膜の1態様についての13C−NMRスペクトルを示す。

Claims (39)

  1. 式Sivwxyz(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、yは10〜50原子%、xは2〜30原子%、およびzは0.1〜15原子%)で表わされ、実質的にフッ素は炭素に結合されていない、膜。
  2. 大部分の水素が炭素に結合されている請求項1記載の膜。
  3. 3.5未満の誘電率を有する請求項1記載の膜。
  4. 3.0未満の誘電率を有する請求項1記載の膜。
  5. 2.0g/cc未満の嵩密度を有する請求項1記載の膜。
  6. 1.5g/cc未満の嵩密度を有する請求項1記載の膜。
  7. 小角中性子散乱もしくは陽電子消滅寿命分光法により測定された相当球径5nm未満の細孔径を有する請求項1記載の膜。
  8. 小角中性子散乱もしくは陽電子消滅寿命分光法により測定された相当球径2.5nm未満の細孔径を有する請求項1記載の膜。
  9. 集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャッピング層、化学的機械平坦化もしくはエッチングストップ層、バリア層または接着層として与えられる請求項1記載の膜。
  10. 2中で425℃の等温下に1.0wt%/hrより小さい平均減量を有する請求項1記載の膜。
  11. 空気中で425℃の等温下に1.0wt%/hrより小さい平均減量を有する請求項1記載の膜。
  12. 1.5g/cc未満の嵩密度、小角中性子散乱もしくは陽電子消滅寿命分光法により測定された相当球径2.5nm未満の細孔径を有し、大部分の水素は炭素に結合され、そして膜は集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャッピング層、化学的機械平坦化もしくはエッチングストップ層、バリア層または接着層として基板上に堆積される請求項1記載の膜。
  13. x/z>0.25である請求項1記載の膜。
  14. 式Sivwxyz(v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、yは10〜50原子%、xは1〜30原子%、およびzは0.1〜15原子%)で表わされ、x/z>0.25であり実質的にフッ素は炭素に結合されていない、膜。
  15. 1.5g/cc未満の嵩密度、小角中性子散乱もしくは陽電子消滅寿命分光法により測定された相当球径2.5nm未満の細孔径を有し、大部分の水素は炭素に結合され、そして膜は集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャッピング層、化学的機械平坦化もしくはエッチングストップ層、バリア層または接着層として基板上に堆積される請求項14記載の膜。
  16. 請求項1記載の膜を製造するための化学的気相堆積法であり、その方法は:
    a.真空チャンバ内に基板を用意すること;
    b.フッ素を供給するガス、酸素を供給するガス、ならびにオルガノシランおよびオルガノシロキサンからなる群より選ばれる少なくとも1つの前駆体ガスを含むガス状試薬を真空チャンバに導入すること;ならびに
    c.該チャンバ内のガス状試薬にエネルギーを加えてガス状試薬の反応を引き起こさせ、そして基板上に膜を形成させること、を含む方法。
  17. 少なくとも1つの前駆体ガスが一般式R1 nSiR2 4-nのアルキルシラン(ここでnは1〜3の整数;R1およびR2は独立して、少なくとも1つの分枝もしくは直鎖C1〜C8のアルキル基、C3〜C8の置換もしくは非置換シクロアルキル基、C2〜C10の部分置換アルキル基、C6〜C12の置換もしくは非置換芳香族、アルコキシ基を含有する対応する線状、分枝、環状、部分非置換アルキル、もしくは芳香族、ならびにあるいはR2は水素化物である)である請求項16記載の方法。
  18. アルキルシランが、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロへキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、トリメチルフェノキシシランおよびフェノキシシランからなる群より選ばれる1員である請求項17記載の方法。
  19. フッ素を供給するガスおよびその少なくとも1つの前駆体の少なくとも1つが式R1 nSiF4-n(ここでnは1〜3の整数;R1およびR2は独立して、少なくとも1つの分枝もしくは直鎖C1〜C8のアルキル基、C3〜C8の置換もしくは非置換シクロアルキル基、C2〜C10の部分置換アルキル基、C6〜C12の置換もしくは非置換芳香族、アルコキシ基を含有する対応する線状、分枝、環状、部分非置換アルキル、もしくは芳香族である)を有する請求項16記載の方法。
  20. フッ素を供給するガスおよびその少なくとも1つの前駆体の少なくとも1つがフルオロトリメチルシラン、ジフルオロジメチルシラン、メチルトリフルオロシラン、フルオロトリエトキシシランもしくはジフルオロジメトキシシランである請求項19記載の方法。
  21. 少なくとも1つの前駆体が式I:R1(R2 2SiO)nSiR2 3の線状オルガノシロキサン(nは1〜10の整数である)または式II:(R12SiO)nの環状オルガノシロキサン(nは2〜10の整数である)
    (ここでR1およびR2は独立して、少なくとも1つの分枝もしくは直鎖C1〜C8のアルキル基、C3〜C8の置換もしくは非置換シクロアルキル基、C2〜C10の部分置換アルキル基、C6〜C12の置換もしくは非置換芳香族、アルコキシ基を含有する対応する線状、分枝、環状、部分非置換アルキル、もしくは芳香族、ならびにあるいはR2は水素化物である)
    である請求項16記載の方法。
  22. オルガノシロキサンが、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1,1,2,2−テトラメチルジシロキサンおよびオクタメチルトリシロキサンからなる群より選ばれる請求項21記載の方法。
  23. オルガノシランおよびフッ素を供給するガスの少なくとも1つが、少なくとも1つのSi−F結合を含有する環状もしくは線状オルガノシロキサンである請求項16記載の方法。
  24. 少なくとも1つの前駆体が一般式R2(SiR12n2の線状オルガノシランオリゴマー(nは2〜10の整数である)、または一般式(SiR12nの環状オルガノシランオリゴマー(nは3〜10の整数)
    (ここでR1およびR2は独立して、少なくとも1つの分枝もしくは直鎖C1〜C8のアルキル基、C3〜C8置換もしくは非置換シクロアルキル基、C2〜C10の部分置換アリキル基、C6〜C12の置換もしくは非置換芳香族、アルコキシ基を含有する対応する線状、分枝、環状、部分非置換アルキル、もしくは芳香族、ならびにあるいはR2は水素化物である)
    である請求項16記載の方法。
  25. 線状オルガノシランオリゴマーが1,2−ジメチルジシラン、1,1,2,2−テトラメチルジシラン、1,2−ジメチル−1,1,2,2−ジメトキシジシラン、ヘキサメチルジシラン、オクタメチルトリシラン、1,2,3,4,5,6−ヘキサフェニルヘキサシラン、1,2−ジメチル−1,2−ジフェニルジシランおよび1,2−ジフェニルジシランからなる群より選ばれる請求項24記載の方法。
  26. オルガノシランはフッ素を供給するガスの少なくとも1つが、少なくとも1つのSi−F結合を有する線状もしくは環状オルガノシランである請求項16記載の方法。
  27. 少なくとも1つの前駆体が環状アルキルシラン、環状アルコキシシランであるか、または一対のSi原子の間に少なくとも1つのアルコキシもしくはアルキル架橋を含む、請求項16記載の方法。
  28. 少なくとも1つの前駆体が、1,2−ジシラノエタン、1,3−ジシラノプロパン、ジメチルジシラシクロブタン、1,2−ビス(トリメチルシロキシ)シクロブテン、1,1−ジメチル−1−シラ−2,6−ジオキサシクロへキサン、1,1−ジメチル−1−シラ−2−オキサシクロへキサン、1,2−ビス(トリメチルシロキシ)エタン、1,4−ビス(ジメチルシリル)ベンゼンまたは1,3−ジメチルシラシクロブタンである請求項27記載の方法。
  29. 少なくとも1つの前駆体が、エポキシド、カルボン酸塩、アルキン、ジエン、フェニルエチニル、ひずんだ環状基、および少なくとも1つの前駆体ガスを立体的に妨害またはひずませうるC4〜C10の基からなる群より選ばれる反応性側基を含有する請求項16記載の方法。
  30. フッ素を供給するガスがSiF4、NF3、F2、HF、SF6、ClF3、BF3、BrF3、SF4、NF2Cl、FSiH3、F2SiH2、F3SiH、オルガノフルオロシランおよびそれらの混合物からなる群から選ばれ、オルガノフルオロシランはいかなるF−C結合をも含有しない、請求項16記載の方法。
  31. 酸素を供給するガスが、O2、N2O、オゾン、過酸化水素、NO、NO2、N24もしくはそれらの混合物である請求項16記載の方法。
  32. 半導体基板上に厚さ0.002〜10μmで膜を堆積することをさらに含む請求項16記載の方法。
  33. 集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャップ層、化学的機械平坦化もしくはエッチストップ層、バリア層または接着層として膜を用いることをさらに含む請求項16記載の方法。
  34. 膜が嵩密度、小角中性子散乱もしくは陽電子消滅寿命分光法により測定された相当球径2.5nm未満の細孔径を有し、大部分の水素は炭素に結合され、そして膜は集積回路において、絶縁層、層間絶縁膜、金属間絶縁膜、キャッピング層、化学的機械平坦化もしくはエッチングストップ層、バリア層または接着層として基板上に堆積される請求項16記載の方法。
  35. ガス状試薬がフッ素を供給するガス、酸素を供給するガスおよび少なくとも1つの前駆体ガス、の少なくとも2つとして機能する少なくとも1分子を含む請求項16記載の方法。
  36. ガス状試薬がフッ素を供給するガス、酸素を供給するガスおよび少なくとも1つの前駆体ガスとして機能する少なくとも1分子を含む請求項16記載の方法。
  37. 該膜が化学的機械平坦化、アルミニウム低減法、銅ダマシン法、もしくは異方エッチングに供される請求項1記載の膜。
  38. 該膜がシリコン、SiO2、Si34、OSG、FSG、炭化ケイ素、反射防止被覆、フォトレジスト、有機ポリマー、多孔質有機および無機材料、金属および金属バリア層に接着しうる請求項1記載の膜。
  39. オルガノシリカガラス膜を製造するためにオルガノシランもしくはオルガノシロキサンの化学的気相堆積を含むオルガノシリカガラス製造方法において、無機フッ素源が実質的に有機フッ素を含まない膜を製造するためのオルガノシランもしくはオルガノシロキサンの少なくとも1部の堆積の間に無機フッ素を共堆積することを特徴とするオルガノシリカガラスの製造方法。
JP2004200926A 2001-05-23 2004-07-07 低誘電率材料およびcvdによる処理方法 Withdrawn JP2004312041A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/863,150 US6716770B2 (en) 2001-05-23 2001-05-23 Low dielectric constant material and method of processing by CVD

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002149738A Division JP3881282B2 (ja) 2001-05-23 2002-05-23 低誘電率材料およびcvdによる処理方法

Publications (2)

Publication Number Publication Date
JP2004312041A true JP2004312041A (ja) 2004-11-04
JP2004312041A5 JP2004312041A5 (ja) 2005-09-29

Family

ID=25340388

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002149738A Expired - Fee Related JP3881282B2 (ja) 2001-05-23 2002-05-23 低誘電率材料およびcvdによる処理方法
JP2004200926A Withdrawn JP2004312041A (ja) 2001-05-23 2004-07-07 低誘電率材料およびcvdによる処理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002149738A Expired - Fee Related JP3881282B2 (ja) 2001-05-23 2002-05-23 低誘電率材料およびcvdによる処理方法

Country Status (7)

Country Link
US (1) US6716770B2 (ja)
EP (1) EP1260606A3 (ja)
JP (2) JP3881282B2 (ja)
KR (1) KR100489758B1 (ja)
CN (1) CN1255573C (ja)
SG (1) SG111942A1 (ja)
TW (1) TW574410B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219533A (ja) * 2009-03-13 2010-09-30 Air Products & Chemicals Inc 誘電体膜形成方法
JP2012506147A (ja) * 2008-10-20 2012-03-08 ダウ コーニング コーポレーション Cvd前駆体
JP2012109511A (ja) * 2010-11-17 2012-06-07 Nanmat Technology Co Ltd 低誘電材料及びその薄膜の製造方法

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
DE10131156A1 (de) * 2001-06-29 2003-01-16 Fraunhofer Ges Forschung Arikel mit plasmapolymerer Beschichtung und Verfahren zu dessen Herstellung
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US6878641B2 (en) * 2002-10-01 2005-04-12 Advanced Technology Materials, Inc. Composition and chemical vapor deposition method for forming organic low k dielectric films
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
KR101021178B1 (ko) * 2003-12-24 2011-03-15 매그나칩 반도체 유한회사 반도체 소자의 절연막 및 그 형성 방법
DE102004008442A1 (de) * 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US20070077778A1 (en) * 2005-10-04 2007-04-05 The Boc Group, Inc. Method of forming low dielectric constant layer
CN100539071C (zh) * 2006-02-16 2009-09-09 中芯国际集成电路制造(上海)有限公司 用于形成低介电常数氟掺杂层的方法
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
DE102006028809B4 (de) * 2006-06-21 2015-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer-Träger-Anordnung, Schichtverbund zur Verwendung bei der Herstellung einer solchen Wafer-Träger-Anordnung sowie entsprechende Verfahren und Verwendungen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7410916B2 (en) 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007010071A1 (de) 2007-02-28 2008-09-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtverbund umfassend eine Lack- und eine Trennschicht sowie Lack-Träger-Anordnung zur Übertragung von Lack
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
JP4670905B2 (ja) * 2007-06-18 2011-04-13 セイコーエプソン株式会社 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
DE102007040655B4 (de) 2007-08-27 2011-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Funktionsschichtübertragungsanordnung, Verfahren zu deren Herstellung, Übertragungsverfahren für eine Funktionsschicht und Verwendung einer plasmapolymeren Schicht oder einer Funktionsschichtübertragungsanordnung zum Übertragen einer Funktionsschicht auf ein Substrat
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5505680B2 (ja) * 2008-09-01 2014-05-28 独立行政法人物質・材料研究機構 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP2010106081A (ja) * 2008-10-28 2010-05-13 Seiko Epson Corp 接合方法、接合体および光学素子
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US20140227512A1 (en) * 2011-09-30 2014-08-14 Arkema Inc. Deposition of silicon oxide by atmospheric pressure chemical vapor deposition
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN108565198A (zh) 2012-02-14 2018-09-21 恩特格里斯公司 用于改善注入束和源寿命性能的碳掺杂剂气体和协流
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6268111B2 (ja) * 2015-02-06 2018-01-24 信越化学工業株式会社 フッ素含有ケイ素化合物、その製造方法、及びフッ素含有ケイ素樹脂の製造方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
WO2017162578A1 (en) * 2016-03-23 2017-09-28 Abb Schweiz Ag Use of a linear octafluorobutene as a dielectric compound in an environmentally safe dielectric-insulation or arc-extinction fluid
US20210277509A1 (en) * 2016-07-14 2021-09-09 Shin-Etsu Chemical Co., Ltd. Slurry for suspension plasma spraying, method for forming rare earth acid fluoride sprayed film, and spraying member
DE102016214493A1 (de) 2016-08-04 2018-02-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Passives elektrisches Bauteil mit Beschichtung zur Verbesserung der Belastbarkeit
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
TWI722301B (zh) 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102018102416A1 (de) 2017-10-23 2019-04-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verwendung einer kohlenstoffhaltigen Beschichtung zum Schutz eines passiven elektrischen Bauteils vor Angriff durch Ammoniak und Anlage, umfassend ein passives elektrisches Bauteil, das gegen Angriff von Ammoniak geschützt ist
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
US20210222298A1 (en) * 2019-02-25 2021-07-22 Ulvac, Inc. Plasma cvd device and plasma cvd method
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110634837A (zh) * 2019-09-27 2019-12-31 哈尔滨理工大学 一种用于铜互联电路中的扩散阻挡层
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US11967498B2 (en) 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) * 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH08167601A (ja) 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
TW302525B (ja) * 1995-02-28 1997-04-11 Hitachi Ltd
JPH08321499A (ja) 1995-03-20 1996-12-03 Fujitsu Ltd 硅素化合物膜およびその形成方法
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
JP3485425B2 (ja) 1996-11-18 2004-01-13 富士通株式会社 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
JPH11111712A (ja) 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111714A (ja) 1997-10-03 1999-04-23 Japan Science & Technology Corp シリコン系絶縁膜の製造方法
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012506147A (ja) * 2008-10-20 2012-03-08 ダウ コーニング コーポレーション Cvd前駆体
JP2010219533A (ja) * 2009-03-13 2010-09-30 Air Products & Chemicals Inc 誘電体膜形成方法
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP2012109511A (ja) * 2010-11-17 2012-06-07 Nanmat Technology Co Ltd 低誘電材料及びその薄膜の製造方法

Also Published As

Publication number Publication date
EP1260606A2 (en) 2002-11-27
KR20020090144A (ko) 2002-11-30
CN1255573C (zh) 2006-05-10
EP1260606A3 (en) 2004-04-21
CN1389591A (zh) 2003-01-08
JP2003007699A (ja) 2003-01-10
US20030049460A1 (en) 2003-03-13
KR100489758B1 (ko) 2005-05-16
JP3881282B2 (ja) 2007-02-14
SG111942A1 (en) 2005-06-29
US6716770B2 (en) 2004-04-06
TW574410B (en) 2004-02-01

Similar Documents

Publication Publication Date Title
JP3881282B2 (ja) 低誘電率材料およびcvdによる処理方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
EP1225194B2 (en) Method of forming a dielectric interlayer film with organosilicon precursors
JP3930840B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム
KR100577059B1 (ko) 저 유전 상수 재료 및 cvd에 의한 처리 방법
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
KR20120073190A (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
JP5711176B2 (ja) 組成物
KR20220061161A (ko) 모노알콕시실란 및 디알콕시실란과 이로부터 제조된 고밀도 오가노실리카 필름
KR20220061162A (ko) 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法
JP2023542352A (ja) 誘電体膜の特性を向上させる添加剤

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050721

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20061110