CN1255573C - 低介电常数材料以及通过cvd的加工方法 - Google Patents

低介电常数材料以及通过cvd的加工方法 Download PDF

Info

Publication number
CN1255573C
CN1255573C CNB021206279A CN02120627A CN1255573C CN 1255573 C CN1255573 C CN 1255573C CN B021206279 A CNB021206279 A CN B021206279A CN 02120627 A CN02120627 A CN 02120627A CN 1255573 C CN1255573 C CN 1255573C
Authority
CN
China
Prior art keywords
film
silane
fluorine
gas
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB021206279A
Other languages
English (en)
Other versions
CN1389591A (zh
Inventor
M·L·奥内尔
B·K·彼得森
J·L·文森特
R·N·弗蒂斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1389591A publication Critical patent/CN1389591A/zh
Application granted granted Critical
Publication of CN1255573C publication Critical patent/CN1255573C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/12Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • C03C14/008Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix the non-glass component being in molecular form
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明披露了一种有机氟硅酸盐玻璃薄膜,所述薄膜包含有机物和无机物,不包括明显量的氟碳物。优选的薄膜由下式表示:SivOwCxHyFz,式中v+w+x+y+z=100%,v从10-35原子%,w从10-65原子%,y从10-50原子%,x从1-30原子%,z从0.1-15原子%,x/z任选地大于0.25,其中,基本上没有氟连接至碳上。另外本发明还提供了一种CVD方法,包括:(a)在真空室内提供基材;(b)将气相反应剂引入真空室中,所述反应剂包含供氟气体、供氧气体、和至少一种选自有机硅烷和有机硅氧烷的前体气体;和(c)对所述室中的气相反应剂施加能量,以使气相反应剂发生反应并在基材上形成薄膜。

Description

低介电常数材料以及通过CVD的加工方法
                        背景技术
在电子工业中,将介电材料用作集成电路的电路和组件与相应电子装置之间的绝缘层。为了增加微电子装置(例如计算机芯片)的速度和储存容量,正日益减少线性尺寸。甚至在过去的十年中,微芯片尺寸也已经明显地减小了,以致使,先前大于1微米的线宽度被降至0.18微米,其中,对于制图板未来的计划是至少低至0.07微米。时间延迟表达T=1/2RCL2常常用来表达:在电路中进行信号传播时尺寸和材料改变的结果,式中T为延迟时间,R为导线电阻,C为介电层的电容,而L为导线长度。电容可表示为C=Kοk(S/d),式中Kο是真空电容率或介电常数(等于1.0),k是薄膜的介电常数,S是电极表面积而d是薄膜厚度。因此,k的降低将导致C成比例的下降并因此使延迟时间减少。此外,当线性尺寸降低时,另外也需要具有更低介电常数的更好的绝缘材料,以便防止在芯片组件之间发生信号交越(aka串扰),这将对性能产生负面影响。
传统上,将介电常数(k)为4.2-4.5的二氧化硅用作夹层介电材料(ILD)。然而,当线性尺寸为0.25微米及更低时,二氧化硅不再适用,并且已被其它材料广泛地替代,如其中k约3.6的氟化硅玻璃(FSG)。具体地说,在过去的一些年间,已经研究了:目的在于将非掺杂二氧化硅的k值降低而将氟添加至二氧化硅中(例如参见US5,571,576;5,661,093;5,700,736;5,703,404;5,827,785和5,872,065)。氟的高电负性导致了非常不可极化的物质,这降低了介电常数。氟化的二氧化硅在工业上已增加了其可接受性并用于当代的ICs。
尽管氟化的二氧化硅材料具有所需的热和机械稳定性以经受很高的温度(高达500℃),但是,当将大量氟掺入所述材料中时,所述材料的性能(例如,低吸水性,机械性能)易于受损。氟化的有机材料,如聚(四氟乙烯),尽管具有低至2.0或更低的k值,但对于在集成电路制备中的后续加工步骤中所经受的温度却没有足够的稳定性。通常,有机聚合物就目前条件下的加工而言,没有足够的机械强度。另外,氟碳聚合物还具有其它的缺陷:如粘附力差、在高温下可能会与金属发生反应、以及在某些情况下在高温下刚性差。为了获得所需的性能和低的介电常数值,可以提供不仅掺入有机掺杂剂而且掺入无机氟类物质的二氧化硅基介电薄膜作为具有低于FSG的k值、并且具有比有机硅玻璃(OSG)材料更好的热和机械性能的薄膜,与此同时,保持在IC制备中起夹层/中间层材料的作用所必需的性能。
近年来,OSG被认为是FSG的替代品。而OSG材料被说成是通过CVD工艺生产的将来的夹层/中间层介电体的选择。在已出版的许多专利中,包括有各种有机硅烷用于生产约2.7-3.2的k值的薄膜的用途(例如参见US5,989,998;6,054,379;6,072,227;6,147,009和US6,159,871,以及WO99/41423)。由于相对于FSG而言,OSG薄膜介电材料固有更低的k值(<3.2),因此它正在商品化和/或由若干主要的OEMs进行推广,以便用于将来的ICs。然而,k值的下降必须与有机物通常具有的有害作用相平衡,所述有害作用包括降低机械性能、热稳定性和耐化学剂性。研究表明,对于OSG而言,优选的材料性能将介电常数值局限于2.8-3.2,而模量/硬度值为9-11/1.2-1.4GPa(参见Lee等人在H-1部分中的摘要No.531,第198届电子化学协会会议;和Golden等人的MICRO第31页,2001年2月)。
某些近年的文献和专利已提出了碳掺杂FSG材料的用途。这些例子的绝大多数只是将氟碳材料作为前体与硅前体源结合,并将氟碳部分掺入二氧化硅或FSG结构中。例如,Shirafuji等人披露了:使六甲基二硅氧烷与八氟丁烯(等离子体和聚合物,4(1)(57-75),1999.3)或四氟乙烯(38Jpn.J.Appl.Phys.4520-26(1999))进行等离子体共聚,以生产氟碳化物/SiO复合薄膜,其中k值从2.0增加至3.3,氟碳化物含量下降。Yun等人(341(1,2)Thin Solid Films 109-11(1999))讨论了氟碳化物添加到利用三乙氧基氟硅烷和氧气在氦等离子体反应器中生产的SiOF薄膜的结果。
在二氧化硅中氟碳部分特定杂质的另一个例子是:Kim等人的著作—在固体介电材料中传导和断路的1998IEEE国际会议(1998IEEEInternational Conference On Conduction and Breakdown in SolidDielectrics)第229-32页(1998),其中描述了氟碳化物添加剂降低主要由二氧化硅得到的材料的k值的能力。Kim等人的著作似乎主要致力于通过利用于2%硅烷/N2等离子体中的CF4而掺入氟碳部分,以便生产包含硅、氧、碳、氟、和氮的薄膜,其中它们能够认同(identify)Si-C,Si-N,Si-O,和C-F的官能。另外还发现,对于其组分而言,具有浓度分布,由此,表面上氧的浓度高于内部。
US5,800,877(Maeda等人)描述了带有Si-F键的有机硅烷前体和没有Si-F键的有机硅烷前体,与臭氧或氧的混合物,在加热法中用于生产含氟氧化硅薄膜的用途。该专利的权利要求书包括了通过利用氧和/或氮等离子体后处理的加热法生产含氟氧化硅。该专利没有描述将烷基或碳掺入薄膜中。
在Hasegawa等人的论文中(37Jpn.J.Appl.Phys.4904-09(1998)),氟化二氧化硅增加的耐水性是在等离子体增强的CVD体系中利用硅烷、氧、CF4和氨的混合物进行沉积的动力。当通过XPS光谱进行解释时,业已发现,沉积的薄膜包含大量Si-N和C-F键。通过掺入Si-N而增加耐水性,将对k值产生负面影响。
在上述同一组的类似著作中,Lubguban等人(337 Thin SolidFilms67-70(1999),606材料研究协会专题论文集57(2000),和87(8)应用物理杂志3715-22(2000))讨论了通过PE-CVD以增强耐水性,而将碳引入氟硅酸盐玻璃中。所述材料由硅烷或TEOS、氧、甲烷和全氟甲烷合成,并且就其组分、热稳定性、和电学性能进行了研究。Lubguban等人建议:将碳和氟引入二氧化硅结构中以降低介电常数。在反应期间,引入沉积室中甲烷量的增加将导致最终材料中碳和氟量的增加,据说这是由于C-F官能度的大量分布所造成的。如其论文所述,C-F和C-H物质的存在将促进抗吸水性并有助于降低介电常数。
在Fujitsu的日本专利中(JP10150036A2),通过旋涂法沉积的有机硅材料具有在等离子体反应器中用F2或NF3的后沉积处理步骤,以便通过在薄膜中形成氟碳物而增加薄膜的耐热性、降低吸水性、并增加材料可靠性。Fujitsu其它的专利(JP8321499A2和JP11111712A2)也讨论了利用含氟碳基团的硅基前体,通过等离子CVD,形成含掺入的氟碳物的二氧化硅薄膜。
Uchida等人披露了改进水分容许量的氟化有机二氧化硅薄膜。例如参见98(3)电化学协会,163-8(1998),37Jpn.J.Appl.Phys.6369-73(1998),38Jpn.J.Appl.Phys.2368-72(1999)和JP11111714A。在这些论文中,作者指出:FSG和OSG的性能可以进行补偿,以致使,具有两者功能的材料可以利用其强度,尽管这几乎没有支持的数据。作者试图通过描述一方法来证明这种断言的优点,在所述方法中,在加热过程中,由叔甲胺(TMA)、四异氰酸酯-硅烷(TICS)、二甲基二异氰酸酯-硅烷(DMSIC)和优选地二甲基乙基胺(DMA)的混合物而沉积有机硅材料,从而产生不含氢和羟基的二氧化硅薄膜。这种沉积薄膜在加热过程中用HF气体进行后处理,以便用氟置换异氰酸酯类,并且生产出的薄膜具有更低的介电常数和更好的水分容许量。然而,所生产出的薄膜包括有C-Si和C-F官能团。另外,由于该薄膜通常也是基于扩散法如化学后处理的,因此,将导致通过薄膜深度所产生的组分梯度。在该方法中,对薄膜进行化学改进的用量和均匀性很难控制。
US6,077,574(Usami)披露了形成掺杂有特定量的氟和碳的等离子CVD氧化硅介电薄膜的方法,其中,由原料气体的混合物沉积该薄膜,所述方法能够彼此独立地控制氟的浓度和碳的浓度。基于所披露的浓度范围,4.0×1021氟原子/cc至1.0×1022氟原子/cc,和3.0×1019碳原子/cc至1.0×1021碳原子/cc,这些薄膜中的原子比([碳]/[氟])低于或等于0.25。有关薄膜内形成的官能团,没有给出任何数据。其中给出了:二氧化硅的密度约为2.2g/cc,这相当于6.6×1022原子/cc,氟和碳的浓度估计约为6-15原子%氟和约0.05-1.5原子%碳。
尽管前述的这些发展,但是,在现有技术中,还没有将希望的机械、介电、热和氧化稳定性这样的性能成功地结合的任何例子,这对于将低k介电材料用于集成电路是最为重要的。
在此引证的所有参考文献均引入作为参考。
                        发明概述
本发明提供掺杂碳的氟硅酸盐玻璃(即CFSG或OFSG-有机氟硅酸盐玻璃)的薄膜,所述薄膜包含有机物和无机氟,不含大量氟碳物质。
更具体地说,本发明优选的薄膜由下式表示:SivOwCxHyFz,式中v+w+x+y+z=100%,v从10-35原子%,w从10-65原子%,y从10-50原子%,x从2-30原子%,z从0.1-15原子%,其中,基本上没有氟连接至碳上。
另外,本发明还提供了由下式表示的薄膜:SivOwCxHyFz,式中v+w+x+y+z=100%,v从10-35原子%,w从10-65原子%,y从10-50原子%,x从1-30原子%,z从0.1-15原子%,前提条件是,x/z>0.25,其中,基本上没有氟连接至碳上。
另外,本发明还提供生产所述薄膜的化学蒸汽沉积法,包括:(a)在真空室内提供基材;(b)将气相反应剂引入真空室中,所述反应剂包含供氟气体、供氧气体、和至少一种选自有机硅烷和有机硅氧烷的前体气体;和(c)对所述室中的气相反应剂施加能量,以使气相反应剂发生反应并在基材上形成薄膜。
另外还提供了:通过有机硅烷的化学气相沉积而生产有机二氧化硅玻璃的改进方法,其中改进之处包括:使得自无机氟源的无机氟与至少一部分有机硅氧烷进行共沉积。
具体地说,本发明涉及以下方面:
1.一种由式SivOwCxHyFz表示的薄膜,式中v+w+x+y+z=100%,v从10-35原子%,w从10-65原子%,y从10-50原子%,x从2-30原子%,z从0.1-15原子%,其中,低于5%的总氟含量连接至碳上。
2.第1项的薄膜,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键。
3.第1项的薄膜,其介电常数低于3.5。
4.第1项的薄膜,其介电常数低于3.0。
5.第1项的薄膜,其松密度低于2.0g/cc。
6.第1项的薄膜,其松密度低于1.5g/cc。
7.第1项的薄膜,当通过小角度中子散射或正电子消灭终生光谱进行测量时,其当量球形直径小于5纳米。
8.第1项的薄膜,当通过小角度中子散射或正电子消灭终生光谱进行测量时,其当量球形直径小于2.5纳米。
9.第1项的薄膜,以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式提供。
10.第1项的薄膜,在425℃等温于氮气氛下的平均重量损失低于1.0%/小时。
11.第1项的薄膜,在425℃等温于空气中的平均重量损失低于1.0%/小时。
12.第1项的薄膜,当根据小角度中子散射或正电子消灭终生光谱进行测量时,其松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式沉积至基材上。
13.第1项的薄膜,其中x/z>0.25。
14.第13项的薄膜,当根据小角度中子散射或正电子消灭终生光谱进行测量时,其松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式沉积至基材上。
15.一种用于生产第1项的薄膜的化学气相沉积法,所述方法包括:
(a)在真空室内提供基材;
(b)将气相反应剂引入真空室中,所述反应剂包含供氟气体、供氧气体、和至少一种选自有机硅烷和有机硅氧烷的前体气体;和
(c)对所述室中的气相反应剂施加能量,以使气相反应剂发生反应并在基材上形成薄膜。
16.第15项的方法,其中,至少一种前体气体是通式R1 nSiR2 4-n表示的烷基硅烷,其中n为1-3的整数;R1和R2独立地为至少一种支链或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基团,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
17.第16项的方法,其中烷基硅烷选自:甲基硅烷,二甲基硅烷,三甲基硅烷,四甲基硅烷,苯基硅烷,甲基苯基硅烷,环己基硅烷,叔丁基硅烷,乙基硅烷、二乙基硅烷,四乙氧基硅烷,二甲基二乙氧基硅烷,二甲基二甲氧基硅烷,二甲基乙氧基硅烷,甲基二乙氧基硅烷,三乙氧基硅烷,三甲基苯氧基硅烷和苯氧基硅烷。
18.第15项的方法,其中至少一种供氟气体和至少一种前体具有式R1 nSiF4-n,式中,n为1-3的整数;R1为至少一种支链或直链C1-C8烷基基团,C3-8取代或未取代的环烷基基团,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基。
19.第18项的方法,其中,至少一种供氟气体和至少一种前体是氟三甲基硅烷,二氟二甲基硅烷,甲基三氟硅烷,氟三乙氧基硅烷或二氟二甲氧基硅烷。
20.第15项的方法,其中至少一种前体是下式I的线性有机硅氧烷:R1(R2 2SiO)nSiR2 3,式中n为1-10的整数;或由下式II表示的环状有机硅氧烷:(R1R2SiO)n,式中n为2-10的整数;R1和R2独立的为至少一种支键或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基固,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,或相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
21.第20项的方法,其中,有机硅氧烷选自:1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷、六甲基环三硅氧烷、六甲基二硅氧烷、1,1,2,2-四甲基二硅氧烷和八甲基三硅氧烷。
22.第15项的方法,其中至少一种有机硅氧烷和供氟气体是环状或线性的有机硅氧烷,其中包含至少一个Si-F键。
23.第15项的方法,其中至少一种前体是式R2(SiR1R2)nR2表示的线性有机硅烷低聚物,式中n为2-10的整数;或由式(SiR1R2)n表示的环状有机硅烷低聚物,式中n为3-10的整数;R1和R2独立地为至少一种支链或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基团;C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,或相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
24.第23项的方法,其中,线性有机硅烷低聚物选自1,2-二甲基二硅烷,1,1,2,2-四甲基二硅烷,1,2-二甲基-1,1,2,2-二甲氧基硅烷,六甲基二硅烷,八甲基三硅烷,1,2,3,4,5,6-六苯基六硅烷,1,2-二甲基-1,2-二苯基二硅烷和1,2-二苯基二硅烷。
25.第15项的方法,其中至少一种有机硅烷和供氟气体是:带至少一个Si-F键的线性或环状有机硅烷低聚物。
26.第15项的方法,其中,至少一种前体是环烷基硅烷,环烷氧基硅烷,或者在一对硅原子之间含至少一个烷氧基或烷基桥。
27.第26项的方法,其中,至少一种前体是1,2-二硅烷基乙烷,1,3-二硅烷基丙烷,二甲基硅杂环丁烷,1,1-二甲基-1-硅杂-2-氧杂环己烷,1,2-二(三甲基甲硅烷氧基)环丁烷,1,1-二甲基-1-硅杂-2,6-二氧杂环己烷,1,2-二(三甲基硅氧烷)乙烷,1,4-二(二甲基甲硅烷基)苯或1,3-二甲基硅杂环丁烷。
28.第15项的方法,其中,至少一种前体包含反应性侧基,所述侧基选自:环氧化物,羧化物,炔烃,二烯,苯基乙炔基,应变环基和C4-C10基团,所述侧基能够在空间上对至少一种前体气体进行位阻或使之应变。
29.第15项的方法,其中供氟气体选自:SiF4,NF3,F2,HF,SF6,ClF3,BF3,BrF3,SF4,NF2Cl,FSiH3,F2SiH2,F3SiH,有机氟硅烷及其混合物,前提条件是,所述有机氟硅烷不包括任何F-C健。
30.第15项的方法,其中,供氧气体是O2,N2O,臭氧,过氧化氢,NO,NO2,N2O4,或其混合物。
31.第15项的方法,另外还包括以0.002-10微米的厚度将薄膜沉积至半导体基材上。
32.第15项的方法,另外还包括将所述薄膜用作:集成电路中的绝缘怪、夹层介电层、中间介电层、封盖层,化学-机械平面化或蚀刻停止层、阻挡层或粘结层。
33.第15项的方法,其中,当根据小角度中子散射或正电子消灭终生光谱进行测量时,所述薄膜的松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层,阻挡层或粘结层的形式沉积至基材上。
34.第15项的方法,其中,气相反应剂包括至少一种分子,所述分子起供氟气体、供氧气体和至少一种前体气体之中至少两种的作用。
35.第15项的方法,其中,气相反应剂包括至少一种分子,所述分子起供氧气体、供氧气体和至少一种前体气体的作用。
36.第1项的薄膜,所述薄膜能够经受化学-机械平面化作用、铝减色工艺、铜镶嵌工艺或各向异性蚀刻。
37.第1项的薄膜,所述薄膜能够粘附至硅,SiO2,Si3N4,OSG,FSG,碳化硅,防反射涂料,光刻胶,有机聚合物,多孔有机和无机材料,金属,以及金属阻挡层上。
38.一种生产第1项的薄膜的方法,包括:使有机硅烷或有机硅氧烷进行化学气相沉积而生产有机二氧化硅玻璃薄膜,改进之处在于,其中,在至少一部分有机硅烷或有机硅氧烷的所述沉积期间,使无机氟源与无机氟进行共沉积,从而生产出含有低于5%的有机氟的薄膜。
                       发明内容
相对于OSG材料,本发明的优选实施方案提供了具有低介电常数和改进的机械性能、热稳定性、和耐化学剂性(氧、含水环境等)的薄膜材料。这是由于在不产生大量有机氟(例如C-F键)的情况下,将碳(可以是碳化硅,但优选主要呈有机碳形式,-CHx,其中x为1-3)和无机氟(例如Si-F-键)掺入薄膜中所造成的。因此,最终的薄膜材料优选包含:Si-O,Si-F,C-H和Si-C键合结构,基本不含、更优选完全不含C-F键,并且优选的是,绝大多数氢键合至碳上。另外,在本发明的某些薄膜中,可以存在较少量的其它官能团,如Si-H,C-O和O-H。
因此,本发明优选的实施方案包含:(a)约10-35原子%、更优选约20-30原子%的硅;(b)约10-65原于%,更优选约20-40原子%的氧;(c)约10-50原子%、更优选约20-40原子%的氢;(d)约1-30原子%、更优选约5-25原子%的碳;和(e)约0.1-15原子%、更优选0.5-7.0原子%的氟。在本发明的某些薄膜中,也可以存在较少量的其它元素。
由于FSG材料和OSG材料的介电常数低于工业中使用的标准材料-二氧化硅玻璃,因此它们均被认为是低k值材料。将无机氟和有机碳相结合掺入薄膜中,将对最终材料的k值产生协同作用。这在不同的方法中本身就显示了。例如,所述薄膜可以具有与OSG材料想当的机械性能,而且具有更低的k值;或者可也具有想当的k值,而且具有优异的机械性能。
将甲基基团引入二氧化硅薄膜中,可以将纳米多孔性赋予薄膜,这将有助于降低薄膜的k值,但也将降低薄膜的机械性能。本发明的薄膜优选包含约1-30原子%的碳或约2-30原子%的碳,其中绝大多数氢连接至碳上。优选的是,一部分C-H官能团位于甲基基团上。通常,引入多孔性是降低材料介电常数的有效方法。尽管增加多孔性将影响薄膜的机械性能和传热性能(例如广延性能),但不会改变薄膜固有的化学或热稳定性。
相对于二氧化硅而言,某些实施方案的薄膜是纳米多孔性的。由PE-CVD TEOS生产的二氧化硅具有:通过正电子消灭终生光谱(positron annihilation lifetime spectroscopy)(PALS)分析确定的固有自由体积孔径,用相当球形直径表示约为0.6纳米。本发明薄膜的孔径,当通过小角度中子散射(SANS)或PALS进行测量时,用相当球形直径表示优选小于5纳米,更优选小于2.5纳米。
优选的是,本发明的薄膜的密度低于2.0g/cc,或低于1.5g/cc。如此低的密度可通过将porogen添加至气相反应剂中和/或添加至后处理沉积材料中而实现。
相对于OSG材料,本发明的薄膜具有改进的性能。所给出的OFSG材料将具有优于等量化学计算量的OSG材料的机械性能,但是在OSG材料中没有任何氟。例如本发明OFSG材料的优选实施方案,具有低于3.5的介电常数,更优选的是低于3.0。在某些实施方案中,所述薄膜的介电常数在2.8-3.2的范围内,其中弹性模量大于10GPa和/或纳米压痕硬度大于1.5GPa。
本发明的薄膜是热稳定的,并具有良好的耐化学剂性。特别是,在425℃的温度,在氮气气氛下,所述薄膜具有低于1.0wt%/小时等温的平均重量损失,和/或在425℃,在空气中,具有低于1.0wt%/小时等温的平均重量损失。
本发明的薄膜适用于多种用途。所述薄膜特别适用于在半导体基材上的沉积,并且特别适用作例如集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械planarization(CMP)或蚀刻停止层、阻挡层(例如防止在绝缘层中不希望的金属、水或其它材料的扩散)和/或粘结层。所述薄膜能够形成一个敷形涂覆。由这些薄膜显示出的机械性能使之特别适用于:脱铝技术(Al subtractive technology)和铜镶嵌技术(Cu Damascene technology)中。
本发明的薄膜与化学机械平面化作用和各向异性蚀刻相适应,并且能够粘结至许多材料上,如硅、二氧化硅、Si3N4、OSG、FSG、碳化硅、防反射涂料、光刻胶、有机聚合物、多孔有机和无机材料、金属如铜和铝、以及金属阻挡层。
尽管本发明特别适合于提供薄膜,并且在此将本发明的产品广泛地描述为薄膜,但本发明并不局限于此。本发明的产品能够以任何能通过CVD沉积的形式提供,如涂层、多层组件、以及无需是平面的或薄的其它物体类形,和无需用于集成电路的多种物体。
除本发明的产品以外,本发明还包括:通过其制备所述产品的方法,以及所述产品的使用方法。
在某些实施方案中,本发明包含:通过化学气相沉积而沉积OSG的改进方法,其中,在至少一部分OSG材料沉积期间,无机氟源与无机氟共沉积,从而生产出基本不含有机氟的薄膜。因此,本发明可用来改善先前的、同时代的和将来的方法,包括披露于如下专利中并要求保护的那些方法:US6,054,379;6,147,009和6,159,871和WO99/41423。通过所述改进方法生产的产品,相对于常规生产的产品,具有改善的性能。优选的是,薄膜的至少一种机械性能将增加至少10%,薄膜的热稳定性将增加,薄膜的化学稳定性将增加和/或薄膜的环境稳定性将增加。
获得具有低介电常数薄膜的该方法包括如下步骤:(a)在真空室内提供基材;(b)将气相反应剂引入真空室中,所述反应剂包含供氟气体、供氧气体、和至少一种选自有机硅烷和有机硅氧烷的前体气体;和(c)对所述室中的气相反应剂施加能量,以使气相反应剂发生反应并在基材上形成薄膜。
优选的是,所述基材为半导体。
有机硅烷和有机硅氧烷是优选的前体气体。合适的有机硅烷和有机硅氧烷包括例如:(a)由式R1 nSiR2 4-n表示的烷基硅烷,其中n为1-3的整数;R1和R2独立地为至少一种支链或直链C1-C8烷基基团(例如甲基,乙基),C3-C8取代或未取代的环烷基基团(例如环丁基,环己基),C3-C10部分不饱和的烷基基团(例如丙烯基,丁二烯基),C6-C12取代或未取代的芳基(例如苯基,甲苯基),相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基(例如甲氧基,乙氧基,苯氧基),并且R2另外可以是氢化物(例如,甲基硅烷,二甲基硅烷,三甲基硅烷,四甲基硅烷,苯基硅烷,甲基苯基硅烷,环己基硅烷,叔丁基硅烷,乙基硅烷,二乙基硅烷,四乙氧基硅烷,二甲基二乙氧基硅烷,二甲基二甲氧基硅烷,二甲基乙氧基硅烷,甲基二乙氧基硅烷,三乙氧基硅烷,三甲基苯氧基硅烷和苯氧基硅烷);(b)由式R1(R2 2SiO)nSiR2 3表示的有机硅氧烷,式中n为1-10的整数;或由式(R1R2SiO)n表示的环状有机硅氧烷,式中n为2-10的整数并且R1和R2如上定义(例如1,3,5,7-四甲基环四硅氧烷,八甲基环四硅氧烷,六甲基环三硅氧烷,六甲基二硅氧烷,1,1,2,2-四甲基二硅氧烷,和八甲基三硅氧烷);和(c)由式R2(SiR1R2)nR2表示的线性有机硅烷低聚物,式中n为2-10的整数;或由式(SiR1R2)n表示的环状有机硅烷,式中n为3-10的整数,并且R1和R2如上定义(例如,1,2-二甲基二硅烷,1,1,2,2-四甲基二硅烷,1,2-二甲基-1,1,2,2-二甲氧基二硅烷,六甲基二硅烷,八甲基三硅烷,1,2,3,4,5,6-六苯基六硅烷,1,2-二甲基-1,2-二苯基二硅烷和1,2-二苯基二硅烷)。
在某些实施方案中,所述有机硅烷/有机硅氧烷为环状烷基硅烷,环状烷氧基硅烷或包含至少一个在一对硅原子之间的烷氧基或烷基桥,如1,2-二硅烷基乙烷,1,3-二硅烷基丙烷,二甲基硅杂环丁烷,1,2-二(三甲基甲硅烷氧基)环丁烷,1,1-二甲基-1-硅杂-2,6-二氧杂环己烷,1,1-二甲基-1-硅杂-2-氧杂环己烷,1,2-二(三甲基甲硅烷氧基)乙烷,1,4-二(二甲基甲硅烷基)苯或1,3-(二甲基甲硅烷基)环丁烷。
在某些实施方案中,有机硅烷/有机硅氧烷包含反应性侧基,所述侧基选自:环氧化物,羧化物,炔烃,二烯,苯基乙炔基,应变(strained)环基和C4-C10基团,所述侧基能够在空间上对有机硅烷/有机硅氧烷进行位阻或使之应变;如三甲基甲硅烷基乙炔,1-(三甲基甲硅烷基)-1,3-丁二烯,三甲基甲硅烷基环戊二烯,三甲基甲硅烷基乙酸酯和二叔丁氧基二乙酰氧基硅烷。
优选的供氟气体没有任何F-C键(即键合至碳上的氟),所述键的末端在薄膜上。因此,优选的供氟气体包括例如:SiF4,NF3,F2,HF,SF6,ClF3,BF3,BrF3,SF4,NF2Cl,FSiH3,F2SiH2,F3SiH,有机氟硅烷及其混合物,前提条件是,所述有机氟硅烷不包括任何F-C健。另外优选的供氟气体包括上述的烷基硅烷,烷氧基硅烷,线性和环状有机硅氧烷,线性和环状有机硅烷低聚物,环状或桥连的有机硅烷,以及带反应性侧基的有机硅烷,前提条件是,氟原子替代了至少一个硅取代基,以致使至少有一个Si-F键。更具体地说,合适的供氟气体包括例如:氟三甲基硅烷,二氟二甲基硅烷,甲基三氟硅烷,氟三乙氧基硅烷,1,2-二氟-1,1,2,2-四甲基二硅烷,或二氟二甲氧基硅烷。
合适的供氧气体包括例如:O2,N2O,臭氧,过氧化氢,NO,NO2,N2O4,或其混合物。
对于起多于前体气体、供氧气体和供氟气体之一作用的分子的单一物质而言,也落入本发明的范围内。即,前体气体、供氧气体和供氟气体无需是三种不同的气体。例如,可能使用二甲氧基甲基氟硅烷,以提供硅、碳、氧和氟。另外也可能使用单气体,从而起前体气体和供氧气体的作用(例如,四乙氧基硅烷、三甲基甲硅烷基乙酸酯或二甲氧基二甲基硅烷,其每一种均提供碳、氧和硅);并且也可能使用单一气体从而起前体气体和供氟气体的作用(例如,三甲基氟硅烷,它提供碳、氟和硅),等等。在任何情况下,优选的是,避免使用含C-F官能团的任何反应剂。
尽管措词“气相反应剂”在此有时用来描述该反应剂,但该措词将包括:以气体形式直接输送至反应器中的反应剂、以汽化液体的形式、以升华固体形式和/或通过惰性载气输送入反应器中的反应剂。
在某些实施方案中,结合使用不同有机硅烷和/或有机硅氧烷的混合物。另外也在本发明范围内的是,使用多种不同供氟气体的混合物和/或多种不同供氧剂的混合物,其与不同有机硅烷和/或有机硅氧烷的混合物一同使用或分开使用。此外,也在本发明范围内的是,与非氟化有机硅烷(以提供碳)一起使用氟化有机硅烷(以提供氟和/或碳)。
除供氟气体,供氧气体和有机硅烷/有机硅氧烷以外,还可以在沉积反应之前、期间和/或之后将其它物料装入真空室内。这样的物料包括例如:惰性气体(例如He,Ar,N2,Kr,Xe等,对于不太挥发的前体,可能需要它们作为载气,和/或它们能够促进已沉积材料的退火并提供更为稳定的最终薄膜)和反应性物质,如气相或液相有机物,NH3,H2,CO2,或CO。有机物如CH4,C2H6,C2H4,C2H2,C3H8,苯,萘,甲苯和苯乙烯能够提供用于掺杂在本发明薄膜中的碳。
将能量施加至气相反应剂上,以便使气体发生反应并在基材上形成薄膜。所述能量能够通过例如如下方式来提供:热、等离子体、脉冲等离子体、氦等离子体、高密度等离子体、感应偶合等离子体、和远程等离子体的方法。能够用次级rf频率源来改善基材表面的等离子体特性。优选的是,通过等离子体增强的化学气相沉积而形成薄膜。特别优选的是,产生频率为13.56MHz的电容耦合等离子体。以基材的表面积计,等离子体的功率优选从0.02-7瓦/厘米2,更优选从0.3-3瓦/厘米2
每种气相反应剂的流量优选为每个单个200mm的片从10-5000sccm,更优选从200-2000sccm。选择独立的流量,以便在薄膜中提供所需量和所需比率的氟,碳等。实际需要的流量可取决于片的大小和室的结构,并且决不局限于200mm的片或单片室。
优选的是,以至少50nm/min的沉积速率沉积薄膜。
在沉积期间,真空室中的压力优选从0.01-600托,更优选从1-10托。
就200mm片基而言,基于将三甲基硅烷用作有机硅烷前体的本发明的优选配方示于下表1中。
              表1
  压力(托)   4.0
  基材温度(℃)   350
  间距(mil)   320
  RF功率(瓦)   400
  三甲基硅烷流量(sccm)   540
O2流量(sccm) 90
  SiF4流量(sccm)   250
优选的是,以高产量,单一处理步骤完成本发明的方法。不被任何理论束服,可以认为,本发明的方法提供了:在薄膜整个截面上具有更为均匀分布的无机氟的薄膜,这与如由Uchida等人教导的多步骤后处理氟化方法不同。此外,存在于本发明薄膜中的非实质量的有机氟(其中“非实质”在此定义为低于5%的总氟含量,更优选低于1%的总氟含量)也更为均匀地分布在薄膜的整个截面上并且不是中央浓集的。
尽管在许多情况下单一处理步骤是优选的,但在沉积之后对薄膜进行后处理,也在本发明的范围内。所述的后处理可包括例如下面处理至少之一:热处理、等离子体处理和化学处理。
优选使薄膜沉积成0.002-10微米的厚度,尽管厚度可以根据需要改变。沉积至非构图表面上的覆盖薄膜具有优异的均匀性,当适当排除边缘时,在基材上1个标准偏差范围内的厚度变化低于2%,其中,例如在进行均匀性统计计算时,不包括基材10毫米的外缘。
薄膜的多孔性将随着松密度相应的降低而增加,从而进一步降低材料的介电常数,并将该材料的可应用性延伸至未来的改进型(例如k<2.5)。
                        附图说明
下面将结合附图对本发明进行描述,其中相同的参考号表示相同的元素:
图1a示出了本发明薄膜一实施方案的等温TGA;
图1b示出了现有技术薄膜的等温TGA;
图2a示出了本发明薄膜一实施方案的TGA扫描;
图2b示出了现有技术薄膜的TGA扫描;
图3示出了本发明薄膜一实施方案和现有技术薄膜的IR分布;
图4示出了本发明薄膜一实施方案的13C NMR光谱。
下面将参考实施例更详细地阐明本发明,但应当理解的是,本发明并不局限于此。
实施例
利用未掺杂的TEOS处理装备,在装有Advance Energy 2000rf发生器的200mm DxZ室中,在Applied Materials Precision-5000系统中进行所有试验。该方法包括如下基本步骤:气流的起始设置和稳定化,沉积,并在将片除去之前对室进行吹洗/排空。随后,在每次沉积之后,利用C2F6+O2的就地清洗而对室进行清洗,之后是室干燥步骤。
利用Hg探头工艺,在低电阻p-型晶片(<0.02ohm-cm)上测量介电常数,利用MTS Nano压头测量机械性能,在耦合至MIDAC红外分光光度计(TGA-IR)的Thermo TA Instruments 2050 TGA上,通过热比重分析而测量热稳定性和尾气产物。利用Bruker ASX-200获得13C-NMR数据,在Physical Electronics 5000LS上,通过X-射线光电子能谱法获得成分数据。
根据US6,159,871和6,054,379,以及WO99/41123的教导,产生对比例1-6,并将列于下表2中。
          表2.由三甲基硅烷和氧进行OSG沉积的数据
  对比例编号   1   2   3   4   5   6
  基材温度(℃)   350   350   350   350   350   350
  厚度(nm)   668   633   661   669   1324   643
  均匀性(+/-)   8   6   7   12   5
  折射率   1.442   1.439   1.444   1.441   1.431   1.4445
  均匀性(+/-)   0.0073   0.0070   0.0090   0.0073   0.0047
  平均Cap./Hg(pF)   38   39.1   37.5   20.9
  介电常数   3.03   2.97   2.99   3.02
  粘附力(带牵引)   100%   100%   100%   100%   100%   100%
  杨氏模量(GPa)   8.7   9.0   8.4   7.6   7.1   8.2
  NI硬度(GPa)   1.4   1.4   1.3   1.1   1.1   1.3
  元素(XPS)%C   22.2   23.3   23.5   23.5   22.4
  %Si   32.7   35.2   35   35.1   35.3
  %O   44.3   41.1   41.2   41.4   42.3
  %F   0   0   0   0   0
注:对于表2,原子%氢没被XPS测量。
将根据本发明生产的若干不同薄膜的物理研究列于下表3中(注:对于表3,原子%氢没被XPS测量)
                                                                                表3
实施例序号   1   2   3   4   5   6   7   8   9   10   11   12   13   14   15   16   17   18   19   20
沉积速率(nm/min) 278 343 197 375 463 953 684 1260 863 619 685 321 625 614 615 279 1213 436 701 842
等离子功率(W)   400   400   300   700   400   1000   600   1000   1000   600   600   400   600   600   600   400   1000   400   400   700
间距(mil)   320   200   260   200   260   260   260   260   320   200   200   200   260   260   260   320   320   265   200   260
室压(torr) 4.0 4.0 4.0 3.25 3.80 4.0 4.0 4.0 4.0 4.0 4.0 6.0 4.0 4.0 4.0 4.0 3.0 5.0 3.0 5.50
时间(sec)   180   120   150   90   90   45   45   45   45   45   90   90   45   90   90   180   45   45   45   60
基材温度(℃)   350   350   350   400   400   350   350   350   350   350   350   350   350   350   350   350   400   250   250   350
气体流量(sccm)
三甲基硅烷   540   540   540   540   370   540   540   540   540   540   540   540   540   540   540   540   540   540   540   370
O2   90   90   90   100   150   90   150   150   90   90   90   90   90   90   90   90   200   50   200   100
SiF4   250   250   250   100   250   250   250   250   250   250   100   100   250   250   250   250   100   100   540   100
He后处理   20sec
厚度(nm)   835   686   493   563   695   715   513   945   647   464   1027   481   469   921   923   837   910   327   526   842
均匀性(+/-)   6   4   4   6   5   7   12   3   6   11   6   7   9   8   16   10   7   23
RI   1.4194   1.433   1.4182   1.5101   1.4356   1.527   1.436   1.455   1.499   1.453   1.449   1.403   1.452   1.432   1.458   1.416   1.475   1.444   1.432   1.4419
均匀件(+/-)   0.0039   0.0024   0.0031   0.0071   0.0055   0.0112   0.0073   0.0076   0.0074   0.0074   0.0056   0.0068   0.0132   0.0063   0.0059   0.0131   0.0068   0.0059
Avg.Cap/Hg(pF)   31.1   37.7   50.8   48.2   40   39.3   47.8   29.8   42.4   55.1   26.7   49.8   54.7   29.6   29.6   31   31   71.2   50   30.9
介电常数   3.03   3.09   3.08   3.32   3.34   3.37   3.00   3.27   3.32   3.17   3.13   2.94   3.18   3.16   3.17   3.03   3.29   2.94   3.23   3.03
粘接作用(带牵引)   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%   100%
杨氏模量   11.0   12.9   15.8   22.2   19.8   18.0   14.6   14.0   15.7   13.5   11.1   13.8   12.9   11.8   11.8   10.6   20.6   8.3   13.4   8.0
NI硬度   1.9   2.2   2.5   3.4   3.3   2.8   2.3   2.3   2.4   2.2   1.7   1.6   2.1   2.0   2.0   1.8   3.4   1.3   2.3   1.3
元素   %C   20.5   12.2   14.5   19.3   17   19.6   19.5   21.6   22.3   27.1   15.4   15.8   22.9   13.8   23.8
  %Si   34.7   34.3   32.1   33.3   33.1   32.6   33   35.4   35   32.1   35.7   35.3   35.4   35.3   345.8
  %O   36.4   48.4   45.8   39   43.3   42.8   44.5   38.8   38.4   37.1   46   46   39.4   46.8   37.9
  %F   7.8   4.7   7.1   7.9   5.9   4.4   2.5   4.2   4.3   3.4   2.3   2.4   2   3.7   3.5
根据SCI Filmtek 2000反射仪,以5点的平均值测量厚度和折射率。通过带牵引测试而测量粘附力。根据MTS纳米压头测量杨氏模量和纳米压痕硬度。在30秒钟的Ar喷涂之后,测量通过XPS的元素分析。列于表中的原子%值不包括氢。
图1a和1b示出了:在425℃时,在N2气氛下5小时之后是空气的等温TGAs。这些图表明:本发明薄膜的热稳定性(实施例16)稍稍优于惰性气氛(N2气氛)下的OSG型材料。然而,当将环境气氛转换成空气之后,对于OSG材料,将观察到大量且迅速的重量损失,而本发明材料的薄膜显示出了优异的稳定性。
图2a和2b示出了:在425℃等温之后,在氮中或空气中至1000℃的TGA扫描。这些图示出了:本发明薄膜(实施例16,示于图2a)与OSG(示于图2b)在空气中扫描至1000℃时的热稳定性。当在氮气中以10℃/分的速率进行扫描时,OSG材料显示出了在约400℃开始的迅速得多的重量损失,而当在空气中以10℃/分的速率进行扫描时,本发明的薄膜在长时间内显示出了慢得多的重量损失,并且仅仅在约470℃才开始。
图3示出了在空气中在425℃等温时,实施例16的薄膜和OSG的IR分布。该图示出了:本发明的薄膜(虚线分布)仅损失二氧化碳和水,而OSG材料(实线分布)将损失更大量的二氧化碳以及水和甲烷。没有从试样中释放出任何氟化有机材料的迹象,如果该材料的确如此的话,这将是所期望的。
图4示出了实施例16的薄膜的13C NMR光谱,其中,没有任何有机氟的可见信号,可以预期的是,在约+90ppm处显示出-CH2F信号,至约+150ppm处显示出-CF3信号。由该工艺观察到的唯一形式的碳是连接至硅上的碳,示出了约0ppm的响应中心(response centered)。
因此,本发明提供了薄膜和所述薄膜的制备方法,其中,所述薄膜基本上或完全不合C-F键,并且相对于OSG材料,具有低的介电常数和改进的机械性能,热稳定性,和耐化学剂性(氧,含水环境等)。
实施例21
对于200mm的硅片基材而言,基于将1,3,5,7-四甲基环四硅氧烷(TMCTS)用作有机硅烷前体的本发明预期的实施例示于下列4中。
          表4
  压力(托)   4.0
  基材温度(℃)   350
  间距(mil)   250
  RF功率(瓦)   300
  TMCTS(g/min)   1.0
  O2流量(sccm)   50
  SF4流量(sccm)   150
预期的k值将在2.8-3.0的范围内,其中杨氏模量约15Gpa,纳米压痕硬度约2Gpa。
对于200mm的硅片基材而言,基于将二甲基二甲氧基硅烷(DMDMOS)用作有机硅烷前体的本发明预期的实施例示于下列5中。
             表5
  压力(托)   4.0
  基材温度(℃)   350
  间距(mil)   250
  RF功率(瓦)   500
  DMDMOS(g/min)   1.0
  O2流量(sccm)   100
  SF4流量(sccm)   200
所希望的k值在2.8-3.0的范围内,其中杨氏模量约15Gpa,纳米压痕硬度约2Gpa。
对于200mm的硅片基材而言,基于将二乙氧基甲基硅烷(DEMS)用作有机硅烷前体的本发明预期的实施例示于下列6中。
             表6
  压力(托)   4.0
  基材温度(℃)   350
  间距(mil)   250
  RF功率(瓦)   300
  DEMS(g/min)   1.0
  O2流量(sccm)   100
  SF4流量(sccm)   200
所希望的k值在2.8-3.0的范围内,其中杨氏模量约15Gpa,纳米压痕硬度约2Gpa。
尽管详细地并参考其特定的实施例,对本发明进行了描述,但对于本领域普通技术人员显而易见的是,在不脱离本发明的精神和范围下,将能够作出各种改变和改进。

Claims (38)

1.一种由式SivOwCxHyFz表示的薄膜,式中v+w+x+y+z=100%,v从10-35原子%,w从10-65原子%,y从10-50原子%,x从2-30原子%,z从0.1-15原子%,其中,低于5%的总氟含量连接至碳上。
2.权利要求1的薄膜,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键。
3.权利要求1的薄膜,其介电常数低于3.5。
4.权利要求1的薄膜,其介电常数低于3.0。
5.权利要求1的薄膜,其松密度低于2.0g/cc。
6.权利要求1的薄膜,其松密度低于1.5g/cc。
7.权利要求1的薄膜,当通过小角度中子散射或正电子消灭终生光谱进行测量时,其当量球形直径小于5纳米。
8.权利要求1的薄膜,当通过小角度中子散射或正电子消灭终生光谱进行测量时,其当量球形直径小于2.5纳米。
9.权利要求1的薄膜,以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式提供。
10.权利要求1的薄膜,在425℃等温于氮气氛下的平均重量损失低于1.0%/小时。
11.权利要求1的薄膜,在425℃等温于空气中的平均重量损失低于1.0%/小时。
12.权利要求1的薄膜,当根据小角度中子散射或正电子消灭终生光谱进行测量时,其松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式沉积至基材上。
13.权利要求1的薄膜,其中x/z>0.25。
14.权利要求13的薄膜,当根据小角度中子散射或正电子消灭终生光谱进行测量时,其松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层、阻挡层或粘结层的形式沉积至基材上。
15.一种用于生产权利要求1的薄膜的化学气相沉积法,所述方法包括:
(a)在真空室内提供基材;
(b)将气相反应剂引入真空室中,所述反应剂包含供氟气体、供氧气体、和至少一种选自有机硅烷和有机硅氧烷的前体气体;和
(c)对所述室中的气相反应剂施加能量,以使气相反应剂发生反应并在基材上形成薄膜。
16.权利要求15的方法,其中,至少一种前体气体是通式R1 nSiR2 4-n表示的烷基硅烷,其中n为1-3的整数;R1和R2独立地为至少一种支链或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基团,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
17.权利要求16的方法,其中烷基硅烷选自:甲基硅烷,二甲基硅烷,三甲基硅烷,四甲基硅烷,苯基硅烷,甲基苯基硅烷,环己基硅烷,叔丁基硅烷,乙基硅烷、二乙基硅烷,四乙氧基硅烷,二甲基二乙氧基硅烷,二甲基二甲氧基硅烷,二甲基乙氧基硅烷,甲基二乙氧基硅烷,三乙氧基硅烷,三甲基苯氧基硅烷和苯氧基硅烷。
18.权利要求15的方法,其中至少一种供氟气体和至少一种前体具有式R1 nSiF4-n,式中,n为1-3的整数;R1为至少一种支链或直链C1-C8烷基基团,C3-8取代或未取代的环烷基基团,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基。
19.权利要求18的方法,其中,至少一种供氟气体和至少一种前体是氟三甲基硅烷,二氟二甲基硅烷,甲基三氟硅烷,氟三乙氧基硅烷或二氟二甲氧基硅烷。
20.权利要求15的方法,其中至少一种前体是下式I的线性有机硅氧烷:R1(R2 2SiO)nSiR2 3,式中n为1-10的整数;或由下式II表示的环状有机硅氧烷:(R1R2SiO)n,式中n为2-10的整数;R1和R2独立的为至少一种支链或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基团,C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,或相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
21.权利要求20的方法,其中,有机硅氧烷选自:1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷、六甲基环三硅氧烷、六甲基二硅氧烷、1,1,2,2-四甲基二硅氧烷和八甲基三硅氧烷。
22.权利权利15的方法,其中至少一种有机硅氧烷和供氟气体是环状或线性的有机硅氧烷,其中包含至少一个Si-F键。
23.权利要求15的方法,其中至少一种前体是式R2(SiR1R2)nR2表示的线性有机硅烷低聚物,式中n为2-10的整数;或由式(SiR1R2)n表示的环状有机硅烷低聚物,式中n为3-10的整数;R1和R2独立地为至少一种支链或直链C1-C8烷基基团,C3-C8取代或未取代的环烷基基团;C2-C10部分不饱和的烷基基团,C6-C12取代或未取代的芳基,或相应的线性、支链、环状、部分不饱和的烷基,或包含烷氧基的芳基,并且R2另外可以是氢化物。
24.权利要求23的方法,其中,线性有机硅烷低聚物选自1,2-二甲基二硅烷,1,1,2,2-四甲基二硅烷,1,2-二甲基-1,1,2,2-二甲氧基硅烷,六甲基二硅烷,八甲基三硅烷,1,2,3,4,5,6-六苯基六硅烷,1,2-二甲基-1,2-二苯基二硅烷和1,2-二苯基二硅烷。
25.权利要求15的方法,其中至少一种有机硅烷和供氟气体是:带至少一个Si-F键的线性或环状有机硅烷低聚物。
26.权利要求15的方法,其中,至少一种前体是环烷基硅烷,环烷氧基硅烷,或者在一对硅原子之间含至少一个烷氧基或烷基桥。
27.权利要求26的方法,其中,至少一种前体是1,2-二硅烷基乙烷,1,3-二硅烷基丙烷,二甲基硅杂环丁烷,1,1-二甲基-1-硅杂-2-氧杂环己烷,1,2-二(三甲基甲硅烷氧基)环丁烷,1,1-二甲基-1-硅杂-2,6-二氧杂环己烷,1,2-二(三甲基硅氧烷)乙烷,1,4-二(二甲基甲硅烷基)苯或1,3-二甲基硅杂环丁烷。
28.权利要求15的方法,其中,至少一种前体包含反应性侧基,所述侧基选自:环氧化物,羧化物,炔烃,二烯,苯基乙炔基,应变环基和C4-C10基团,所述侧基能够在空间上对至少一种前体气体进行位阻或使之应变。
29.权利要求15的方法,其中供氟气体选自:SiF4,NF3,F2,HF,SF6,ClF3,BF3,BrF3,SF4,NF2Cl,FSiH3,F2SiH2,F3SiH,有机氟硅烷及其混合物,前提条件是,所述有机氟硅烷不包括任何F-C健。
30.权利要求15的方法,其中,供氧气体是O2,N2O,臭氧,过氧化氢,NO,NO2,N2O4,或其混合物。
31.权利要求15的方法,另外还包括以0.002-10微米的厚度将薄膜沉积至半导体基材上。
32.权利要求15的方法,另外还包括将所述薄膜用作:集成电路中的绝缘怪、夹层介电层、中间介电层、封盖层,化学-机械平面化或蚀刻停止层、阻挡层或粘结层。
33.权利要求15的方法,其中,当根据小角度中子散射或正电子消灭终生光谱进行测量时,所述薄膜的松密度低于1.5g/cc,孔径小于2.5纳米当量球形直径,其中薄膜包含选自C-H、Si-O、Si-F和Si-C的至少一种键,并且所述薄膜以集成电路中的绝缘层、夹层介电层、中间介电层、封盖层、化学-机械平面化或蚀刻停止层,阻挡层或粘结层的形式沉积至基材上。
34.权利要求15的方法,其中,气相反应剂包括至少一种分子,所述分子起供氟气体、供氧气体和至少一种前体气体之中至少两种的作用。
35.权利要求15的方法,其中,气相反应剂包括至少一种分子,所述分子起供氧气体、供氧气体和至少一种前体气体的作用。
36.权利要求1的薄膜,所述薄膜能够经受化学-机械平面化作用、铝减色工艺、铜镶嵌工艺或各向异性蚀刻。
37.权利要求1的薄膜,所述薄膜能够粘附至硅,SiO2,Si3N4,OSG,FSG,碳化硅,防反射涂料,光刻胶,有机聚合物,多孔有机和无机材料,金属,以及金属阻挡层上。
38.一种生产权利要求1的薄膜的方法,包括:使有机硅烷或有机硅氧烷进行化学气相沉积而生产有机二氧化硅玻璃薄膜,改进之处在于,其中,在至少一部分有机硅烷或有机硅氧烷的所述沉积期间,使无机氟源与无机氟进行共沉积,从而生产出含有低于5%的有机氟的薄膜。
CNB021206279A 2001-05-23 2002-05-23 低介电常数材料以及通过cvd的加工方法 Expired - Fee Related CN1255573C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/863150 2001-05-23
US09/863,150 US6716770B2 (en) 2001-05-23 2001-05-23 Low dielectric constant material and method of processing by CVD

Publications (2)

Publication Number Publication Date
CN1389591A CN1389591A (zh) 2003-01-08
CN1255573C true CN1255573C (zh) 2006-05-10

Family

ID=25340388

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021206279A Expired - Fee Related CN1255573C (zh) 2001-05-23 2002-05-23 低介电常数材料以及通过cvd的加工方法

Country Status (7)

Country Link
US (1) US6716770B2 (zh)
EP (1) EP1260606A3 (zh)
JP (2) JP3881282B2 (zh)
KR (1) KR100489758B1 (zh)
CN (1) CN1255573C (zh)
SG (1) SG111942A1 (zh)
TW (1) TW574410B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110158052A (zh) * 2019-05-17 2019-08-23 江苏菲沃泰纳米科技有限公司 低介电常数膜及其制备方法

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
DE10131156A1 (de) 2001-06-29 2003-01-16 Fraunhofer Ges Forschung Arikel mit plasmapolymerer Beschichtung und Verfahren zu dessen Herstellung
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US6878641B2 (en) * 2002-10-01 2005-04-12 Advanced Technology Materials, Inc. Composition and chemical vapor deposition method for forming organic low k dielectric films
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
KR101021178B1 (ko) * 2003-12-24 2011-03-15 매그나칩 반도체 유한회사 반도체 소자의 절연막 및 그 형성 방법
DE102004008442A1 (de) * 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US20070077778A1 (en) * 2005-10-04 2007-04-05 The Boc Group, Inc. Method of forming low dielectric constant layer
CN100539071C (zh) * 2006-02-16 2009-09-09 中芯国际集成电路制造(上海)有限公司 用于形成低介电常数氟掺杂层的方法
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
DE102006028809B4 (de) * 2006-06-21 2015-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer-Träger-Anordnung, Schichtverbund zur Verwendung bei der Herstellung einer solchen Wafer-Träger-Anordnung sowie entsprechende Verfahren und Verwendungen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7410916B2 (en) 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007010071A1 (de) 2007-02-28 2008-09-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtverbund umfassend eine Lack- und eine Trennschicht sowie Lack-Träger-Anordnung zur Übertragung von Lack
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
JP4670905B2 (ja) * 2007-06-18 2011-04-13 セイコーエプソン株式会社 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
DE102007040655B4 (de) 2007-08-27 2011-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Funktionsschichtübertragungsanordnung, Verfahren zu deren Herstellung, Übertragungsverfahren für eine Funktionsschicht und Verwendung einer plasmapolymeren Schicht oder einer Funktionsschichtübertragungsanordnung zum Übertragen einer Funktionsschicht auf ein Substrat
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5505680B2 (ja) * 2008-09-01 2014-05-28 独立行政法人物質・材料研究機構 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
EP2373830B1 (en) * 2008-10-20 2014-04-30 Dow Corning Corporation Cvd precursors
JP2010106081A (ja) * 2008-10-28 2010-05-13 Seiko Epson Corp 接合方法、接合体および光学素子
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
WO2013048751A1 (en) * 2011-09-30 2013-04-04 Arkema Inc. Deposition of silicon oxide by atmospheric pressure chemical vapor deposition
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
EP3267470A3 (en) 2012-02-14 2018-04-18 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
KR102029286B1 (ko) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6268111B2 (ja) * 2015-02-06 2018-01-24 信越化学工業株式会社 フッ素含有ケイ素化合物、その製造方法、及びフッ素含有ケイ素樹脂の製造方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
WO2017162578A1 (en) * 2016-03-23 2017-09-28 Abb Schweiz Ag Use of a linear octafluorobutene as a dielectric compound in an environmentally safe dielectric-insulation or arc-extinction fluid
KR102656926B1 (ko) * 2016-07-14 2024-04-16 신에쓰 가가꾸 고교 가부시끼가이샤 서스펜션 플라스마 용사용 슬러리, 희토류산 불화물 용사막의 형성 방법 및 용사 부재
DE102016214493A1 (de) 2016-08-04 2018-02-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Passives elektrisches Bauteil mit Beschichtung zur Verbesserung der Belastbarkeit
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10361137B2 (en) 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102018102416A1 (de) 2017-10-23 2019-04-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verwendung einer kohlenstoffhaltigen Beschichtung zum Schutz eines passiven elektrischen Bauteils vor Angriff durch Ammoniak und Anlage, umfassend ein passives elektrisches Bauteil, das gegen Angriff von Ammoniak geschützt ist
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
JP6983332B2 (ja) * 2019-02-25 2021-12-17 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
CN110634837A (zh) * 2019-09-27 2019-12-31 哈尔滨理工大学 一种用于铜互联电路中的扩散阻挡层
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US11967498B2 (en) * 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) * 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH08167601A (ja) 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
TW302525B (zh) * 1995-02-28 1997-04-11 Hitachi Ltd
JPH08321499A (ja) 1995-03-20 1996-12-03 Fujitsu Ltd 硅素化合物膜およびその形成方法
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
JP3485425B2 (ja) 1996-11-18 2004-01-13 富士通株式会社 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
JPH11111712A (ja) 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111714A (ja) 1997-10-03 1999-04-23 Japan Science & Technology Corp シリコン系絶縁膜の製造方法
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110158052A (zh) * 2019-05-17 2019-08-23 江苏菲沃泰纳米科技有限公司 低介电常数膜及其制备方法
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Also Published As

Publication number Publication date
KR20020090144A (ko) 2002-11-30
EP1260606A2 (en) 2002-11-27
JP2003007699A (ja) 2003-01-10
SG111942A1 (en) 2005-06-29
US6716770B2 (en) 2004-04-06
JP3881282B2 (ja) 2007-02-14
EP1260606A3 (en) 2004-04-21
KR100489758B1 (ko) 2005-05-16
US20030049460A1 (en) 2003-03-13
TW574410B (en) 2004-02-01
CN1389591A (zh) 2003-01-08
JP2004312041A (ja) 2004-11-04

Similar Documents

Publication Publication Date Title
CN1255573C (zh) 低介电常数材料以及通过cvd的加工方法
CN1240780C (zh) 用于低介电常数层间介质薄膜的有机硅前体
JP7032266B2 (ja) アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法
JP4897505B2 (ja) 低誘電率の多孔質有機シリカガラス膜を得るための化学蒸着方法
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
CN1187799C (zh) 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅
JP5711176B2 (ja) 組成物
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
JP2004312041A5 (zh)
CN1325543A (zh) 硅烷基多纳米孔隙二氧化硅薄膜
CN1446374A (zh) 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
CN1536023A (zh) 多孔膜形成用组合物,多孔膜的制备方法,多孔膜、层间绝缘膜和半导体器件
CN1823406A (zh) 低介电常数膜及其制造方法、以及使用它的电子器件
CN1836017A (zh) 涂料组合物和通过使用该涂料组合物制得的低介电硅质材料
TWI676632B (zh) 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法
JP6813551B2 (ja) シラ環状化合物及びそれを用いたケイ素含有膜の堆積方法
CN1782124A (zh) 多孔低介电常数组合物、其制备方法及其使用方法
JP6993394B2 (ja) ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060510