JP6813551B2 - シラ環状化合物及びそれを用いたケイ素含有膜の堆積方法 - Google Patents

シラ環状化合物及びそれを用いたケイ素含有膜の堆積方法 Download PDF

Info

Publication number
JP6813551B2
JP6813551B2 JP2018201797A JP2018201797A JP6813551B2 JP 6813551 B2 JP6813551 B2 JP 6813551B2 JP 2018201797 A JP2018201797 A JP 2018201797A JP 2018201797 A JP2018201797 A JP 2018201797A JP 6813551 B2 JP6813551 B2 JP 6813551B2
Authority
JP
Japan
Prior art keywords
cyclic
formula
groups
branched
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018201797A
Other languages
English (en)
Other versions
JP2019081951A (ja
Inventor
レイ シンチエン
レイ シンチエン
ゴードン リッジウェイ ロバート
ゴードン リッジウェイ ロバート
レイモンド ニコラス バーティス
ニコラス バーティス レイモンド
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2019081951A publication Critical patent/JP2019081951A/ja
Application granted granted Critical
Publication of JP6813551B2 publication Critical patent/JP6813551B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

[関連出願の相互参照]
本出願は2017年10月27日に出願された米国仮出願番号第62/578,072号の優先権及び利益を主張し、その全体を参照することにより本明細書中に取り込む。
シラ環状化合物を構造形成性前駆体として用いた誘電体膜の形成のための組成物及び方法は本明細書に記載される。より具体的には多孔質の低誘電率の膜(「低k」膜、又は、約3.0以下の誘電率を有する膜)を形成するための組成物及び方法であって、膜を堆積するために使用される方法が化学蒸着(CVD)法である組成物及び方法は本明細書中に記載される。本明細書中に記載される組成物及び方法によって製造される誘電体膜は、例えば、電子デバイス中で絶縁層として使用することができる。
エレクトロニクス産業は、回路及び集積回路(IC)部品及び関連する電子デバイスのの間の絶縁層として誘電性材料を使用する。線寸法はマイクロエレクトロニクスデバイス(例えば、コンピュータチップ)の速度及びメモリ記憶容量を増加させるために低減され続けている。線寸法が減少するときに、層間絶縁膜(ILD)の絶縁要件はより一層厳しくなる。間隔の縮小は、RC時定数を最小化するために、より低い誘電率を要求し、ここで、Rは導線の抵抗であり、Cは絶縁誘電性中間層のキャパシタンスである。キャパシタンス(C)は間隔に反比例し、層間絶縁膜(ILD)の誘電率(k)に比例する。SiH又はTEOS(Si(OCHCH、テトラエチルオルトシリケート)及びOから製造される従来のシリカ(SiO)CVD誘電体膜は4.0よりも大きい誘電率kを有する。産業界には、より低い誘電率を有するシリカ系CVD膜を製造しようと試みる幾つかの方法があり、最も成功しているのは、約2.7〜約3.5の範囲の誘電率を提供する有機基を有する絶縁性酸化シリコン膜のドーピングである。この有機シリカガラスは、典型的には、メチルシラン又はシロキサンなどの有機ケイ素前駆体、及び、O又はNOなどの酸化剤から緻密な膜(密度が約1.5g/cm)として堆積される。有機シリカガラスは、本明細書においてOSGと呼ぶことにする。より高いデバイス密度及びより小さい寸法では誘電率又は「k」値が2.7未満に低下するので、産業界は緻密膜のための適切な低k組成物のほとんどを使い果たしており、改良された絶縁性のためには様々な多孔性材料に目が向けられている。
CVD法の分野による多孔性ILDの分野での特許、公開出願及び刊行物としては、NO及び場合により過酸化物などの酸化剤の存在下に不安定基を含む有機ケイ素前駆体からOSG膜を堆積し、次いで、熱アニールにより該不安定基を除去して、多孔性OSGを提供する方法を記載しているEP 1 119 035 A2及び米国特許第6,171,945号明細書、酸化性アニールにより、堆積されたOSGから本質的にすべての有機基を除去し、多孔性無機SiOを得ることを教示している米国特許第6,054,206号及び同第6,238,751号明細書、水素化シリコンカーバイド膜を堆積し、酸化性プラズマで後処理することにより、多孔性無機SiOへと転化させることを記載しているEP 1 037 275、及び、有機ケイ素前駆体及び有機化合物から膜を同時堆積させ、次いで、熱アニールして、重合有機成分の部分が残存している多相OSG/有機膜を提供することをどれも教示している、米国特許第6,312,793号(B1)、WO00/24050及び文献、Grill, A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805が挙げられる。それらの文献では、膜の究極の最終組成物は残留ポロゲン、及び、約80〜90原子%の高い炭化水素膜含有分を示す。また、最終的な膜は、有機基を酸素原子の一部の置換で、SiOのようなネットワークを保持する。
産業界で認識されている課題は、より低い誘電率を有する膜は、通常、より高い気孔率を有し、膜中への化学種の拡散を促進し、特に気相拡散を促進することになることである。この増加した拡散は、膜のエッチング、フォトレジストのプラズマアッシング及び銅表面のNHプラズマ処理などのプロセスによる、多孔性OSG膜からの炭素の除去を増加することにつながる可能性がある。OSG膜中の炭素の枯渇は以下の問題の1つ以上をもたらすことがある:膜の誘電率の増加、湿式洗浄工程の間の膜エッチング及び形態反り、疎水性損失による膜中の湿分吸収、パターンエッチング後の湿式洗浄工程の間の微細形態のパターン崩壊、及び/又は、Ta/TaN又はアドバンストCo又はMnNバリア層などの銅拡散バリアなど(限定するわけではない)の次の層を堆積させるときの集積化の問題。
これらの問題の1つ以上の可能な解決策は炭素含有量を増加させた多孔性OSG膜を使用することである。最初のアプローチは、多孔性OSG層中のSi−メチル(Me)基のより高い保持をもたらすポロゲンを使用することである。残念ながら、Si−Meの含有量の増加は、通常、機械特性の低下をもたらし、このため、より多量のSi−Me基を含む膜は、装置の製造における集積化にとって重要である機械的強度に対して悪影響を与えるであろう。第二のアプローチは、米国特許第8,753,985号明細書に開示されているポロゲンなどの損傷耐性ポロゲン(DRP)を使用することであり、UV硬化後に膜中に追加のアモルファスカーボンを残す。特定の場合、この残留炭素は誘電率にも機械的強度にも悪影響を与えない。しかしながら、DRPを使用してこれらの膜中に有意に高い炭素含有量を得ることは困難である。
提案されるなおも別の解決法は、一般式R(RO)3−xSi(CHSiR(OR)3−z(式中、x=0〜3であり、y=1又は2であり、z=0〜3である)のエチレン又はメチレン橋掛けジシロキサンを使用することであった。橋掛け種の使用は、ネットワーク接続が同じままであろうため、橋掛け酸素を橋掛け炭素鎖で置換することによって、機械的強度への悪影響を回避するものと考えられる。これは、橋掛け酸素を末端メチル基で置換することが、ネットワークの接続性を低下させることにより機械的強度を低下させるであろうという考えから生じる。このように、機械的強度を低下させることなく、原子質量パーセント(%)Cを増加させるために、1〜2個の炭素原子で酸素原子を置換することができる。これらの橋掛け前駆体は、しかしながら、一般的に、2つのケイ素基を有するものから分子量が増加することに起因する非常に高い沸点を有する。増加した沸点は、化学前駆体を蒸気輸送ライン又はプロセスポンプ排気口で凝縮することなく、気相試薬として反応チャンバ中に輸送することが困難になることから、製造プロセスに悪影響を及ぼすことがある。
したがって、上記の欠点に悩むことなく、堆積時に炭素含有量が増加された膜を提供する誘電体前駆体が当該技術分野で必要とされている。
上記の要求などは、式Si(ここで、v+w+x+y+z=100%、vは10〜35原子%、wは10〜65原子%、xは5〜40原子%、yは10〜50原子%、そしてzは0〜15原子%)で表される誘電体膜を生成する方法によってかなえられる。この方法によれば、基材は反応チャンバ内に供給され、そしてシラ環状化合物を含む少なくとも1種の構造形成性前駆体を含む気体試薬がその中に導入される。シラ環状化合物は、好ましくは約100ppm未満しか不純物を含まない。次いで、エネルギーが反応チャンバ中のガス状試薬に加えられて、気体試薬の反応を誘導し、そしてそれによって基材上に予備膜を堆積する。
上記の要求などは、ポロゲンが、シラ環状化合物を含む少なくとも1種の構造形成性前駆体を含む気体試薬を含む反応チャンバに導入される同様の方法によって更にかなえられる。そのような方法では、予備膜はポロゲンを含んでいる。この方法は、予備膜からポロゲンの少なくとも一部を除去して、細孔を含み、そして約2.6以下の誘電率を有する多孔性の誘電体膜を提供する工程を更に含んでいる。
シラ環状化合物は、1−メチル−1−アセトキシシラシクロペンタン(MASCAP)を構造形成剤として含むことができ、これがUV硬化の後に、ジエトキシメチルシラン(DEMS)などの従来技術の構造形成剤を使用した膜と同じ誘電率で同様の機械的特性を有する多孔性低k誘電体膜を提供する。また、構造形成性前駆体として本明細書に記載されるシラ環状化合物を用いて堆積した膜は比較的高い量で炭素を含む。さらに、本明細書に記載されるシラ環状化合物は、他の従来技術の構造形成性前駆体、例えば、2個のケイ素基を有する性質により、より高い分子量及びより高い沸点を有する橋掛け前駆体(例えば、アルコキシジシロキサン前駆体)と比較して低分子量(Mw)を有し、それにより、本明細書に記載されるシラ環状前駆体を、大量生産プロセスなどにおいてプロセスに便利なものとする。
特定の実施形態において、膜は、X線分光分析(XPS)によって測定して、より高い炭素含有分を含み(10〜40%)、そして、例えば、O2又はNH3プラズマに暴露されたときに、XPS深さプロファイリングにより決定される炭素含有分を検査することによって測定して、炭素除去深さが低減されることを示す。
低k誘電体膜を製造するための化学蒸着(CVD)法は本明細書に記載され、該方法は、反応チャンバ内に基材を提供すること、1−メチル−1−アセトキシ−1−シラシクロペンタンなどのシラ環状化合物を含む、少なくとも1種の構造形成性前駆体を含む気体試薬を反応チャンバに導入すること、反応チャンバ中の気体試薬にエネルギーを加え、気体試薬の反応を誘導して、基材上に予備膜を堆積させること、ここで、予備膜は続いてUV硬化されて機械的性質を向上させることができる。そのように堆積された、またはUV硬化された膜は、3.3以下、好ましくは3.0以下、最も好ましくは2.9以下の誘電率を有する。
本明細書に記載されるシラ環状化合物は、ジエトキシメチルシラン(DEMS)などの従来技術の構造形成性前駆体と比較して、誘電体膜の機械特性に対する影響を、もしあったとしても少ししかなくして、誘電体膜中により多くの炭素含有分を取り込むことを可能にするというユニークな属性を提供する。例えば、DEMSはDEMS中に混合リガンド系を提供し、2つのアルコキシ基、1つのメチル基及び1つのヒドリドを含み、それが反応性部位のバランスを提供し、所望の誘電率を保持しながら、より機械的に堅牢な膜の形成を可能にする。理論に拘束されるつもりはないが、本明細書に記載されるシラ環状前駆体、例えば、1−メチル−1−アセトキシ−1−シラシクロペンタンは生来的に非対称であり、従来技術で開示されている1,1−ジメチル−1−シラシクロペンタン又は1,1−ジエトキシ−1−シラシクロペント−3−エン、または1,1−ジエトキシ−1−シラシクロペンタンなどのより対称な前駆体よりも利点を提供しうる。本明細書に記載される構造形成性前駆体の1つのアルキル基及び1つのアルコキシ基の取り込みにより、3.3以下の誘電率での機械強度及び炭素取り込みをバランスさせることができる。
本明細書に記載される方法及び組成物の特定の実施形態において、ケイ素含有誘電性材料の層を、反応チャンバを用いた化学蒸着(CVD)法により基材の少なくとも一部分の上に堆積させる。適切な基材としては、限定するわけではないが、半導体材料、例えば、ガリウムヒ素(“GaAs”)、シリコン、及び、結晶性シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(“SiO”)、シリコンガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ホウケイ酸ガラスなどのケイ素含有組成物、ならびに、それらの組み合わせが挙げられる。他の適切な材料としては、クロム、モリブデン、及び、半導体、集積回路、フラットパネルディスプレイ及びフレキシブルディスプレイ用途に一般に用いられる他の金属が挙げられる。基材は、シリコン、SiO2、オルガノシリケートガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機−無機複合材料、フォトレジスト、有機ポリマー、多孔性有機及び無機材料及び複合材、酸化アルミニウム及び酸化ゲルマニウムなどの金属酸化物などの追加の層を有することができる。なおもさらなる層は、また、ゲルマノシリケート、アルミノシリケート、銅及びアルミニウム及び拡散バリア材料であることができ、例えば、限定するわけではないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWNなどである。
本明細書に記載される方法の特定の実施形態において、ケイ素含有誘電性材料の層は、シラ環状化合物を含む少なくとも1種の構造形成性前駆体を含む気体試薬を反応チャンバに導入することにより、基材の少なくとも一部分の上に堆積される。
特定の態様では、第2の構造形成性前駆体を、硬化剤として、膜の機械的性質を高めるように加えることができる。この膜は、堆積されたままで、または機械的性質を更に向上させるようにUV硬化されて、用いることができる。
本明細書に記載される方法及び組成物は構造形成性前駆体として式(I)の下記構造を有するシラ環状化合物を使用する。
Figure 0006813551
(上式中、Rは、水素、直鎖もしくは枝分かれC〜C10アルキル基、直鎖もしくは枝分かれC〜C10アルケニル基、直鎖もしくは枝分かれC〜C10アルキニル基、C〜C10環状アルキル基、C〜C10複素環式アルキル基、C〜C10アリール基、及び、C〜C10ヘテロアリール基から選ばれ、Rは水素、直鎖もしくは枝分かれC〜C10アルキル基、直鎖もしくは枝分かれC〜C10アルケニル基、直鎖もしくは枝分かれC〜C10アルキニル基、C〜C10環状アルキル基、C〜C10複素環式アルキル基、C〜C10アリールもしくはアラルキル基、及び、C〜C10ヘテロアリール基から選ばれ、そしてRはSi原子と四員、五員又は六員環を形成するC〜C10アルキル二価基から選ばれる)。
上記式及び本記載全体にわたって、用語「アルキル」は1〜10個の炭素原子を有する直鎖もしくは枝分かれ官能基を表す。例示の直鎖アルキル基としては、限定するわけではないが、メチル、エチル、n−プロピル、ブチル、ペンチル及びヘキシル基が挙げられる。例示の枝分かれアルキル基としては、限定するわけではないが、イソ−プロピル、イソ−ブチル、sec−ブチル、tert−ブチル、イソ−ペンチル、tert−ペンチル、イソ−ヘキシル及びネオ−ヘキシルが挙げられる。特定の実施形態において、アルキル基はそれに結合した1個以上の官能基を有することができ、例えば、限定するわけではないが、それに結合したメトキシ、エトキシ、イソ−プロポキシ及びn−プロポキシなどのアルコキシ基、ジメチルアミノ基などのジアルキルアミノ基又はそれらの組み合わせである。他の実施形態において、アルキル基はそれに結合した1個以上の官能基を有しない。アルキル基は飽和であることができ、又は、不飽和であることができる。
上記の式I及び本記載の全体にわたって、用語「環状アルキル」は3〜10個の炭素原子を有する環状官能基を表す。例示の環状アルキル基としては、限定するわけではないが、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基が挙げられる。
上記の式I及び本記載の全体にわたって、用語「複素環式」はC〜C10複素環式アルキル基、例えば、エポキシ基を表す。
上記の式I及び本記載の全体にわたって、用語「アルケニル基」は1個以上の炭素−炭素二重結合を有しかつ2〜10個又は2〜10個又は2〜6個の炭素原子を有する基を表す。
上記の式I及び本記載の全体にわたって、用語「アルキニル基」は1個以上の炭素−炭素三重結合を有しかつ3〜10個又は2〜10個又は2〜6個の炭素原子を有する基を表す。
上記の式I及び本記載の全体にわたって、用語「アリールもしくはアラルキル」は5〜10個炭素原子又は6〜10個の炭素原子を有する芳香族もしくはアルキル置換芳香族官能基を表す。例示のアリール基としては、限定するわけではないが、フェニル、トリル及びo−キシリルが挙げられる。
上記の、そして本明細書を通して式Iにおいて、用語「アルキルアリール」は、上記のようにアルキル置換アリールを表す。例示的なアルカリル基としては、ベンジルおよびクロロベンジルが挙げられるが、それらには限定されない。
上記の式I及び本記載の全体にわたって、用語「ヘテロアリール」は、1,2,3−トリアゾリル、ピロリル及びフラニルが挙げられるがそれレアには限定されない、C〜C10複素環式アリール基、を表す。
上記の式Iにおいて、置換基RはSi原子と四員、五員又は六員環を形成するC〜C10アルキル二価基である。当業者に理解されるであろうとおり、RはSi原子と結合して式I中の環を一緒に形成する、置換又は非置換炭化水素鎖であり、ここで、その環は四員、5員又は六員環である。これらの実施形態において、環構造は飽和であることができ、例えば、環状アルキル環、又は、不飽和であることができ、例えば、アリール環である。
式Iの特定の実施形態において、Rは水素、メチル及びエチルからなる群より選ばれ、Rはメチル、エチル及びイソプロピルからなる群より選択ばれ、RはSi原子と四員、五員又は六員環を形成する。これらの実施形態の例は以下のとおりである。
Figure 0006813551
1つの特定の実施形態において、本明細書に記載される組成物及び方法は下記の構造を有するシラ環状化合物、1−メチル−1−アセトキシシラシクロペンタン(MASCAP)を構造形成性前駆体として使用する。
Figure 0006813551
本明細書に記載されるシラ環状化合物ならびにそれを含む方法及び組成物は、好ましくは、1種以上の不純物を実質的に含まず、該不純物は、例えば、限定するわけではないが、ハロゲン化物イオン及び水である。本明細書に使用されるときに、用語「実質的に含まない」は、不純物に関する場合には、100百万分率(ppm)以下、50ppm以下、10ppm以下及び5ppm以下の不純物を意味する。ここで用いられる用語「含まない」とは、不純物に関して、0.1百万分率(ppm)以下を意味している。不純物としては、ハロゲン化物、金属イオン、揮発性金属化合物、クロロシリコン含有化合物、ケイ素含有化合物が挙げられるが、それらには限定されない。
1つの具体的な態様では、シラ環状化合物は、好ましくは実質的にハロゲン化物含有化合物を含まない。ここで用いられる用語「実質的に含まない」は、ハロゲン化物イオン(またはハロゲン化物)、例えば塩化物(すなわち、塩化物含有化合物、例えばHClまたは少なくとも1つのSi−Cl結合を有するケイ素化合物)およびフッ化物、臭化物、およびヨウ化物に関して、イオンクロマトグラフィ(IC)によって測定して5ppm未満(質量基準)のハロゲン化物、好ましくはイオンクロマトグラフィ(IC)によって測定して3ppm未満、そしてより好ましくはイオンクロマトグラフィ(IC)によって測定して1ppm未満、そして最も好ましくはイオンクロマトグラフィ(IC)によって測定して0ppmを意味している。塩化物は、シラ環状化合物の分解触媒として作用することが知られている。最終的な生成物中の有意な水準は、ケイ素前駆体化合物の分解を引き起こす可能性がある。ケイ素前駆体化合物の漸次の分解は、膜堆積プロセスに直接に影響を与えて、半導体製造者が、膜の仕様を満たすことを困難にさせる可能性がある。更に、貯蔵寿命または安定性は、ケイ素前駆体のより高い分解速度によって悪影響を与えられ、それによって1〜2年の貯蔵寿命を保証することを困難にさせる。従って、ケイ素前駆体化合物の促進された分解は、それらの可燃性のおよび/または自然発火性の気体の副生成物に関する安全性および作業の問題を呈する。シラ環状化合物は、好ましくは実質的に金属イオン、例えば、Li、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+を含まない。ここで用いられる用語「実質的に含まない」は、Al、Fe、Ni、Cr、MgおよびCaに関して、誘導結合プラズマ質量分析(ICP−MS)によって測定して、5ppm未満(質量基準)、好ましくは3ppm未満、そしてより好ましくは1ppm未満、そして最も好ましくは0.1ppm未満を意味している。幾つかの態様では、式Aを有するケイ素前駆体化合物は、金属イオン、例えばLi、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+を含まない。ここで用いられる用語、金属不純物を「含まない」は、Mg、Ca、Al、Fe、Ni、Cr、貴金属例えば合成に用いられたルテニウムまたは白金触媒からの揮発性のRuまたはPt錯体に関して、ICP−MSによって測定されて1ppm未満、好ましくは0.1ppm未満(質量基準)を意味する。
ハロゲン化物を実質的に含まない本発明に係る組成物は(1)化学合成の間に塩素源を低減し又は無くすこと、及び/又は、(2)最終精製生成物が実質的に塩化物を含まないように、粗製生成物から塩化物を除去するための有効な精製プロセスを実施することにより得ることができる。塩素源は、トリクロロメチルシラン、トリブロモシランなどのハロゲン化物を含む試薬を使用することにより合成の間に導入される可能性があり、そのために、ハロゲン化物を含む副生成物の生成を回避する。同様に、合成は、ハロゲン化物をベースとする溶媒、触媒、又は、許容されないほど高いレベルのハロゲン化物汚染物を含む溶媒を使用すべきでない。粗製生成物は、また、最終生成物が塩化物などのハロゲン化物を実質的に含まないものとするために種々の精製方法により処理されることができる。このような方法は従来技術でよく記載されており、限定するわけではないが、蒸留又は吸着などの精製方法が挙げられる。蒸留は沸点の差異を利用することにより、所望の生成物から不純物を分離するために一般に使用されている。吸着は、また、最終生成物が実質的にハロゲン化物を含まないように、分離を行う成分の異なる吸着特性を利用するのに使用されうる。吸着剤、例えば、市販のMgO−Alブレンドは塩化物などのハロゲン化物を除去するために使用されうる。
従来技術のケイ素含有構造形成性前駆体、例えば、DEMSは、反応チャンバ内でエネルギー添加されると、重合して、ポリマー主鎖中に−O−結合(例えば、−Si−O−Si−又は−Si−O−C−)を有する構造を形成するけれども、シラ環状化合物、例えば、は、MASCAP分子は、重合して、主鎖中の−O−橋掛けの一部分が−CH−メチレン又は−CHCH−エチレン橋掛けにより置換されている構造を形成するものと考えられる。炭素が主に末端Si−Me基の形態で存在している、DEMSを構造形成性前駆体として使用して堆積された膜において、%Si−Me(%Cに直接的に関係)vs.機械強度に関係があり、ここで、橋掛けSi−O−Si基を2つの末端Si−Me基による置換は、ネットワーク構造が破壊されることから、機械特性を低減する。特定の理論によって拘束されることは意図しないが、シラ環状化合物の場合には、環状構造は膜堆積又は硬化プロセス(堆積されたままの膜中に含まれるポロゲン前駆体の少なくとも一部分又は実質的にすべてを除去する)のいずれかの間に破壊し、SiCHSi又はSiCHCHSi橋掛け基を形成するものと考えられる。このように、橋掛け基の形態で炭素を取り込むことができ、それにより、機械強度の観点から、ネットワーク構造は炭素含有量を増加させることにより攪乱されない。特定の理論に拘束されることは意図しないが、この属性は膜に炭素を添加し、それにより、膜のエッチング、フォトレジストのプラズマアッシング、及び、銅表面のNHプラズマ処理などのプロセスからの多孔性OSG膜の炭素枯渇に対してより耐性のある膜とすることが可能であることが信じられる。OSG膜中の炭素枯渇は、膜の不良誘電率の増加、湿式洗浄工程の間の膜エッチング及び形態反りの問題、及び/又は、銅拡散バリアを堆積させるときの集積化の問題を生じさせることがある。
本明細書に開示された方法及び組成物の特定の実施形態において、付加的な構造形成性前駆体は、シラ環状構造形成剤とともに用いられた場合には機械強度を増加する硬化剤をさらに含み、硬化剤の例はテトラアルコキシシラン、例えば、テトラエトキシシラン(TEOS)又はテトラメトキシシラン(TMOS)である。硬化剤が使用される実施形態において、構造形成性部分の組成物は約30〜約95質量%の、式Iを有するシラ環状化合物を含む構造形成性前駆体、約5〜約70質量%の硬化剤を含み、そして、合計前駆体流の約40〜約95質量%のポロゲン前駆体を含む。
更なる態様では、気体試薬は1種以上のポロゲン前駆体をさらに含み、それは1−メチル−1−アセトキシシラシクロペンタンなどのシラ環状化合物を含む少なくとも1種の構造形成性前駆体とともに反応チャンバ中に導入される。以下は本発明により使用されるポロゲンとしての使用に適する材料の非限定的な例である。
1)一般式C2nの環式炭化水素(式中、n=4〜14であり、環式構造中の炭素数は4〜10であり、環式構造上に置換された複数の単純な又は枝分かれした炭化水素が存在してよい)
例としては、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1−メチル−4−(1−メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタン、メチルシクロヘキサンなどが挙げられる。
2)一般式C(2n+2)−2yの直鎖もしくは枝分かれの飽和、単一もしくは複数の不飽和の炭化水素(式中、n=2〜20であり、y=0〜nである)
例としては、エチレン、プロピレン、アセチレン、ネオヘキサン、1,3−ブタジエン、2−メチル−1,3−ブタジエン、2,3−ジメチル−2,3−ブタジエン、置換ジエンなどが挙げられる。
3)一般式C2n−2xの単一もしくは複数の不飽和の環式炭化水素(式中、xは分子中の不飽和部位の数であり、n=4〜14であり、環式構造中の炭素数は4〜10であり、そして環式構造上に置換された複数の単純なもしくは枝分かれの炭化水素が存在してよい)。不飽和は環内にあっても、又は、環状構造への炭化水素置換基の1つにあってもよい。
例としては、パラ−シメン、シクロオクテン、1,5−シクロオクタジエン、ジメチルシクロオクタジエン、シクロヘキセン、ビニルシクロヘキサン、ジメチルシクロヘキセン、α−テルピネン、ピネン、リモネン、ビニルシクロヘキセンなどが挙げられる。
4)一般式C2n−2の二環式炭化水素(式中、n=4〜14であり、二環式構造中の炭素数は4〜12であり、環状構造上に置換された複数の単純なもしくは枝分かれの炭化水素が存在してよい)
例としては、ノルボルナン、スピロ−ノナン、デカヒドロナフタレンなどが挙げられる。
5)一般式C2n−(2+2x)の複数不飽和の二環式炭化水素(式中、xは分子中の不飽和部位の数であり、n=4〜14であり、二環式構造中の炭素数は4〜12であり、環状構造上に置換された複数の単純なもしくは枝分かれの炭化水素が存在してよい)。不飽和は環内にあっても、又は、環状構造への炭化水素置換基の1つにあってもよい。
例としては、カンフェン、ノルボルネン、ノルボルナジエン、5−エチリデン−2−ノルボルネンなどが挙げられる。
6)一般式C2n−4の三環式炭化水素(式中、n=4〜14であり、三環構造中の炭素数は4〜12であり、環状構造上に置換された複数の単純なもしくは枝分かれの炭化水素が存在してよい)。
例としては、アダマンタンが挙げられる。
試薬を説明するために本明細書中で用語「気体試薬」がしばしば使用されているが、その用語は反応器に気体として輸送され、蒸発液体、昇華固体として輸送され、及び/又は反応器中に不活性キャリアガスにより輸送される試薬を包含することが意図される。
さらに、試薬は区別される源と別個に、又は、混合物として反応器中に輸送されうる。試薬は任意の数の手段により、好ましくは、適切なバルブ及び取り付け具を備えた加圧可能なステンレススチール容器を用いて、反応器系に輸送されることができ、それにより、プロセス反応器に液体の輸送が可能になる。
構造形成性種及び細孔形成性種に加えて、堆積反応の前、間及び/又は後に、追加の材料を反応チャンバに導入することができる。このような材料としては、例えば、不活性ガス(例えば、He、Ar、N、Kr、Xeなどで、より低い揮発性の前駆体のためのキャリアガスとして使用でき、及び/又は、堆積されたままの材料の硬化を促進することができ、そしてより安定な最終膜を提供することができる)、及び、反応性物質、例えば、酸素含有種、例えば、O、O及びNO、気体もしくは液体有機物質、NH、H、CO又はCOが挙げられる。1つの特定の実施形態において、反応チャンバに導入される反応混合物はO、NO、NO、NO、CO、水、H、オゾン及びそれらの組み合わせからなる群より選ばれる少なくとも1種の酸化剤を含む。別の実施形態において、反応混合物は酸化剤を含まない。
気体を反応させるのを誘導し、そして基材上の膜を形成させるために、気体試薬にエネルギーを加える。このようなエネルギーは、例えば、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、遠隔プラズマ、熱フィラメント、熱(すなわち、非フィラメント)法により提供されうる。二次RF周波数源は基材表面でプラズマ特性を変更するために使用することができる。好ましくは、膜はプラズマ増強化学蒸着(「PECVD」)によって形成される。
気体試薬の各々の流速は単一の200mmウエハ当たりに10〜5000sccmであり、より好ましくは30〜1000sccmである。必要とされる実際の流速はウエハサイズ及びチャンバ構成によって決まることができ、200mmウエハ又は単一ウエハチャンバに決して限定されない。
特定の実施形態において、膜は約50ナノメートル(nm)/分の堆積速度で堆積される。
堆積の間の反応チャンバ内の圧力は約0.01〜約600トル(1.3Pa〜80kPa)、又は、約1〜15トル(130Pa〜2kPa)である。
膜は、好ましくは、0.002〜10ミクロンの厚さに堆積されるが、厚さは必要に応じて変更可能である。非パターン化表面上に堆積されるブランケット膜は優れた均一性を有し、合理的に縁を除外した基材を横切って1標準偏差にわたって2%未満の厚さのばらつきがあり、ここで、例えば、基材の5mm最外縁は均一性の統計的計算に含まれない。
本発明の好ましい実施形態は、当該技術において知られている他の構造形成性前駆体を使用して堆積された他の低k誘電体膜と比較して、低い誘電率及び改良された機械特性、熱安定性及び化学物質耐性(酸素、水性酸化性環境などに対する)を有する薄膜材料を提供する。好ましい態様では、式Iを有するシラ環状化合物を含む、本明細書に記載される構造形成性前駆体は膜中へのより高い炭素の取り込みを提供し(好ましくは、主として有機炭素−CH(式中、xは1〜3である)の形態で)、特定の前駆体又はネットワーク形成性化学物質を使用して、膜を堆積する。特定の実施形態において、膜中の水素の主要部分は炭素に結合されている。
本明細書に記載される組成物及び方法を用いて堆積される低k誘電体膜は、好ましくは(a)約10〜約35原子%、より好ましくは約20〜約30原子%のケイ素、(b)約10〜約65原子%、より好ましくは約20〜約45原子%の酸素、(c)約10〜約50原子%、より好ましくは約15〜約40原子%の水素、(d)約5〜約40原子%、より好ましくは約10〜約45原子%の炭素を含む。低k誘電体膜は、また、約0.1〜約15原子%、より好ましくは約0.5〜約7.0原子%のフッ素を含み、それにより、1種以上の材料特性を改良する。より少量の他の元素も本発明の特定の膜中に存在してよい。OSG材料はその誘電率が産業界で伝統的に使用されている標準的な材料−シリカガラスよりも低いときに低k材料と考えられる。また、本発明の材料は、堆積手順に孔形成性種又はポロゲンを添加し、堆積されたままの(すなわち、予備)OSG膜中にポロゲンを取り込み、そして予備膜から実質的にすべてのポロゲンを除去する一方で、予備膜の末端Si−CH基又は橋掛け−(CH−を実質的に保持して、製品膜を提供することにより提供されうる。製品膜は多孔性OSGであり、そして予備膜の誘電率から、また、ポロゲンを用いずに堆積された類似の膜の誘電率から低減された誘電率を有する。OSG中の有機基により提供される疎水性を欠く、多孔性無機SiOとは対照的に、多孔性OSGとして本発明の膜を区別することが重要である。
本発明の膜は、また、フッ素を、無機フッ素(例えば、Si−F)の形態で含む。存在するときには、フッ素は、好ましくは、0.5〜7原子%の範囲の量で含まれる。
本開発の膜は、好ましくは良好な化学物質耐性を有するともに熱的に安定である。特に、アニール工程後の好ましい膜はN下に425℃の等温で平均質量損失が1.0wt%/hr未満である。さらに、膜は、好ましくは、空気下に425℃の等温で平均質量損失が1.0wt%/hr未満である。
ここで開示された膜は種々の用途に適する。膜は、半導体基板上の堆積に特に適し、例えば、絶縁層、層間誘電体層及び/又は金属間誘電体層としての使用に特に適する。膜は形態追従性コーティングを形成することができる。これらの膜により示される機械特性は、Alサブトラクティブ技術及びCuダマシン又はデュアルダマシン技術における使用に特に適なものとする。
膜は化学機械平坦化(CMP)及び異方性エッチングと適合性があり、シリコン、SiO、Si、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、有機ポリマー、多孔性有機及び無機材料、銅及びアルミニウムなどの金属、及び、拡散バリア層(例えば、限定するわけではないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN又はW(C)N)などの種々の材料に接着することができる。膜は、好ましくは、ASTM D3359−95aテープ引張試験などの従来の引張試験に合格するのに十分な上記の材料の少なくとも1つに接着することができる。サンプルは膜の明確な除去がなければ試験に合格したものと考えられる。
このように、特定の実施形態において、膜は、集積回路における絶縁層、層間誘電体層、金属間誘電体層、キャッピング層、化学機械平坦化(CMP)もしくはエッチ停止層、バリア層又は接着層である。
本明細書に記載される膜は均一に堆積される誘電体膜であるが、完全集積化構造において使用される膜は、実際に、例えば、ボトム又はトップに酸化ケイ素様の性質を含む薄い層を備えた幾つかのサンドイッチ層からなることができる。これらのサンドイッチ層は、例えば、接着性、エッチ選択性又はエレクトロマイグレーション性能などの二次集積化特性を向上させるために使用されうる。
本発明は膜として本明細書に概して記載される本発明の膜及び製品を提供するのに特に適するが、本発明はそれに限定されない。本発明の製品は、コーティング、多層層状アセンブリ及び、必ずしも平坦でない又は薄くない他のタイプの物品、ならびに、必ずしも集積回路に使用されない多数の物品などの、CVDにより堆積されうる任意の形態で提供される。好ましくは、基材は半導体である。
本発明のOSG製品に加えて、本発明は、製品が製造される方法、製品の使用方法、製品を調製するために有用な化合物及び組成物を含む。例えば、半導体デバイス上での集積回路の製造方法は、米国特許第6,583,049号明細書中に開示されており、それを参照により本明細書中に取り込む。
本発明の組成物は、例えば、プロセス反応器にポロゲン及びMASCAP前駆体を輸送することを可能にする適切なバルブ及び取り付け具を装備した少なくとも1つの加圧可能容器(好ましくは、ステンレススチール製)をさらに含むことができる。容器の内容物は事前混合されうる。或いは、複数の構造形成性前駆体を貯蔵の間に別個の容器中に維持されることができ、又は、ポロゲン及び前駆体を別々に維持するための別個の手段を有する単一の容器中に維持されることができる。このような容器は、また、所望の場合には、ポロゲン及び前駆体を混合するための手段を有することができる。
後処理を行う条件は大きく変更可能である。例えば、後処理は高圧又は真空周囲下に行うことができる。
UVアニーリングは、好ましくは以下の条件下で行われる好ましい方法である。
環境は不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、エンリッチ化酸素環境、オゾン、一酸化二窒素など)又は還元性(希釈もしくは濃縮水素、炭化水素(飽和、不飽和、直鎖もしくは枝分かれ、芳香族)など)であることができる。圧力は、好ましくは、約1トル〜約1000トル(130Pa〜130kPa)、より好ましくは、大気圧である。しかしながら、真空雰囲気は、また、熱アニーリング、ならびに、任意の他の後処理手段のためにも可能である。温度は好ましくは200〜500℃であり、温度上昇速度は0.1〜100℃/分である。合計UVアニーリング時間は、好ましくは、0.01分間〜12時間である。
OSG膜の化学処理は好ましくは以下の条件下に行われる。
フッ素化剤(HF、SIF、NF、F、COF、COなど)、酸化剤(H、Oなど)、化学乾燥剤、メチル化剤又は他の化学物質処理剤の使用は最終材料の特性を向上させる。このような処理に使用される化学物質は固体、液体、気体及び/又は超臨界流体状態であることができる。
オルガノシリケート膜からのポロゲンの選択的除去のための超臨界流体後処理は好ましくは以下の条件下に行われる。
流体は、二酸化炭素、水、一酸化二窒素、エチレン、SF及び/又は他のタイプの化学物質であることができる。他の化学物質はプロセスを向上させるために超臨界流体に添加されてよい。化学物質は不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、オゾン、一酸化二窒素など)、又は、還元性(例えば、希釈もしくは濃縮炭化水素、水素など)であることができる。温度は好ましくは、周囲温度から500℃である。化学物質は、また、界面活性剤などのより大きな化学物質種を含むことができる。合計暴露時間は、好ましくは0.01分間〜12時間である。
OSG膜の不安定基の選択的除去及び可能な化学変性のためのプラズマ処理は好ましくは下記の条件下に行われる。
環境は不活性(窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、エンリッチ化酸素環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈もしくは濃縮水素、炭化水素(飽和、不飽和、直鎖もしくは枝分かれ、芳香族)など)であることができる。プラズマ出力は、好ましくは、0〜5000Wである。温度は好ましくは、周囲温度〜500℃である。圧力は、好ましくは10ミリトル(1.3Pa)〜大気圧である。合計硬化時間は、好ましくは、0.01分間〜12時間である。
オルガノシリケート膜からのポロゲンの選択的除去のためのUV硬化は好ましくは以下の条件下に行われる。
環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、亜酸化窒素など)、または還元性(例えば、希釈もしくは濃縮炭化水素、水素など)であることができる。温度は、好ましくは周囲温度〜500℃である。出力は、好ましくは0〜5000Wである。波長は、好ましくはIR、可視、UVまたは深UV(波長<200nm)である。全体のUV硬化時間は、好ましくは0.01分間〜12時間である。
オルガノシリケート膜からのポロゲンの選択的除去のためのマイクロ波後処理は、好ましくは以下の条件の下で行われる。
環境は不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈もしくは濃縮炭化水素、水素など)であることができる。温度は好ましくは周囲温度〜500℃である。出力及び波長は様々であり、特定の結合に対して調節可能である。合計のUV硬化時間は好ましくは0.01分間〜12時間である。
オルガノシリケート膜からのポロゲンまたは特定の化学種の選択的除去および/または膜の性質の向上のためのマイクロ波後処理は好ましくは以下の条件下で行われる。
環境は真空、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈もしくは濃縮炭化水素、水素など)であることができる。温度は好ましくは周囲温度〜500℃である。電子密度及びエネルギーは様々であることができ、特定の結合に対して調節可能である。合計の硬化時間は好ましくは0.001分間〜12時間であり、連続であっても又はパルスであってもよい。電子線の一般的な使用に関する追加のガイダンスは、S. Chattopadhyay ら, Journal of Materials Science, 36 (2001) 4323-4330; G. Klosterら, Proceedings of IITC, June 3-5, 2002, SF, CA;及び米国特許第6,207,555号(B1)、同第6,204,201号(B1)及び同第6,132,814号(A1)明細書などの刊行物において得られる。電子ビーム処理の使用はポロゲン除去を提供し、そしてマトリックス内での結合形成プロセスを通して膜の機械特性の強化を提供する。
本発明は以下の実施例を参照してより詳細に例示されるであろうが、それを限定するものと認められないことは理解されるべきである。
例示の膜を、種々の化学前駆体及びプロセス条件から、Advance Energy 200 RF発生器を備えた200mmDxZ反応チャンバ又は真空チャンバにおいてApplied Materials Precision-5000システムを用いて、プラズマ強化CVD(PECVD)プロセスにより、200mmウエハ上に堆積させた。PECVDプロセスは、一般に、以下の基本工程: ガス流の初期設定及び安定化、シリコンウエハ基材上の膜の堆積、及び、基材取り出しの前のチャンバのパージ/排気を含んだ。堆積後に、幾つかの膜をUVアニーリングに付した。UVアニーリングは広いバンドのUVバルブを有するFusion UVシステムを用いて行った。その際、ウエハは<10トル(1.3kPa)の1種以上の圧力及び<400℃の1種以上の温度でヘリウムガス流下に保持された。実験をp−型Siウエハ(抵抗率=8〜12Ohm−cm)で行った。
SCI FilmTek 2000屈折率計で厚さ及び屈折率を測定した。中程度の抵抗率のp−型ウエハ(範囲=8〜12Ohm−cm)に対してHgプローブ技術を用いて誘電率を決定した。Nicholet Nexxus 470分光計を用いてFTIRスペクトルを測定した。例1において、機械特性を、MTSナノインデンタを用いて決定した。Physical Electronics 5000LSでx−線光電子分光計(XPS)により組成データを得た。そして原子質量%で提供する。報告されている原子質量%値は水素を含まない。
例1 1−メチル−1−アセトキシ−1−シラシクロペンタンからのOSG膜の堆積
オルガノシリケート層が、1−アセトキシ−1−メチル−1−シラシクロペンタンをケイ素前駆体として用いて堆積された。この複合膜を、200mmウエハ上に堆積するための堆積条件は以下のとおりである。前駆体は、反応チャンバ中に直接の液体注入(DLI)によって、1−メチル−1−アセトキシ−1−シラシクロペンタンの1000ミリグラム/分(mg/分)の流量、200標準立方センチメートル毎分(sccm)のヘリウムキャリアガス流、200sccmのO,350ミリインチ(0.89cm)のシャワーヘッド/ウエハ間隔、400℃のウエハチャック温度、7トル(930Pa)のチャンバ圧力、で供給され。650Wプラズマが80秒間反応チャンバに加えられた。堆積された膜としての結果は、499nm厚であり、そして1.486の屈折率(RI)を有していた。堆積されたままのこの膜は、2.96の誘電率、13.5GPaの弾性率および2.4GPaの硬度を有していた。堆積の後に、この膜はUVアニーリング工程に4分間、400℃で曝露された。UVアニーリングの後に、この膜は、7.6%収縮して461nmの厚さとなり、1.488の屈折率を有していた。UV硬化の後に、この膜は3.00の誘電率、16.8GPaの弾性率および3.2GPaの硬度を有していた。UVアニーリング後のこの膜のXPSで測定された元素組成は、27.1原子%の炭素、42.6原子%の酸素および30.3原子%のケイ素であった。XPS分析は、このような膜中の水素組成を測定することはできないが、水素はC−H結合およびおそらくはSi−H結合の形態で存在しており、そして膜の10〜50原子%の範囲を構成することが推測される。
本開示は以下も包含する。
[1]
式Si Fz(式中、v+w+x+y+z=100%であり、vは10〜35原子%であり、wは10〜65原子%であり、xは5〜40原子%であり、yは10〜50原子%であり、そしてzは0〜15原子%である)により表される誘電体膜の製造方法であって、該方法は、
反応チャンバ内に基材を提供すること、
該反応チャンバ中に、シラ環状化合物を含む少なくとも1種の構造形成性前駆体を含む気体試薬を導入すること、該シラ環状化合物は、不純物を約100ppm未満しか含まない、および、
該反応チャンバ中の該気体試薬にエネルギーを加え、該気体試薬の反応を誘導して、そしてそれによって該基材上に膜を堆積させること、
を含んでいる。
[2]
前記気体試薬が、テトラエトキシシランおよびテトラメトキシシランから選択された硬化剤を含む構造形成性試薬を更に含む、上記態様1記載の方法。
[3]
前記シラ環状化合物が、下記の式I、
Figure 0006813551
(上式中、R は、水素、直鎖もしくは枝分かれC 〜C 10 アルキル基、直鎖もしくは枝分かれC 〜C 10 アルケニル基、直鎖もしくは枝分かれC 〜C 10 アルキニル基、C 〜C 10 環状アルキル基、C 〜C 10 複素環式アルキル基、C 〜C 10 アリール基またはアラルキル基、及び、C 〜C 10 ヘテロアリール基から選ばれ、R は水素、直鎖もしくは枝分かれC 〜C 10 アルキル基、直鎖もしくは枝分かれC 〜C 10 アルケニル基、直鎖もしくは枝分かれC 〜C 10 アルキニル基、C 〜C 10 環状アルキル基、C 〜C 10 複素環式アルキル基、C 〜C 10 アリールもしくはアラルキル基、及び、C 〜C 10 ヘテロアリール基から選ばれ、そしてR はSi原子と四員、五員又は六員飽和もしくは不飽和環を形成するC 〜C 10 アルキル二価基から選ばれる)、
を有する化合物を含み、該シラ環状化合物が、不純物を約100ppm未満しか含まない、上記態様1記載の方法。
[4]
前記シラ環状化合物が、1−メチル−1−アセトキシ−1−シラシクロペンタン、1−メチル−1−プロピオノキシ−1−シラシクロペンタン、1−メチル−1−アセトキシ−1−シラシクロブタン、1−メチル−1−プロピオノキシ−1−シラシクロブタン、1−メチル−1−アセトキシ−1−シラシクロヘキサン、1−メチル−1−プロピオノキシ−1−シラシクロヘキサン、1−エチル−1−アセトキシ−1−シラシクロペンタン、1−エチル−1−プロピオノキシ−1−シラシクロペンタン、1−エチル−1−アセトキシ−1−シラシクロブタン、1−エチル−1−プロピオノキシ−1−シラシクロブタン、1−エチル−1−アセトキシ−1−シラシクロヘキサン、1−エチル−1−プロピオノキシ−1−シラシクロヘキサンおよびそれらの組み合わせ、からなる群から選択された少なくとも1種の化合物を含む、上記態様3記載の方法。
[5]
前記シラ環状化合物が、1−メチル−1−アセトキシ−1−シラシクロペンタンを含む、上記態様4記載の方法。
[6]
前記方法が、プラズマ強化化学気相堆積である、上記態様1記載の方法。
[7]
前記気体試薬が、O 、N O、NO、NO 、CO 、水、H 、オゾンおよびそれらの混合物からなる群から選択された少なくとも1種の酸化剤を更に含み、該気体試薬が酸化剤を含まない、上記態様1記載の方法。
[8]
前記加える工程において前記反応チャンバが、He、Ar、N 、Kr、Xe、NH 、H 、CO 、またはCOから選択される少なくとも1種の気体を更に含む、上記態様1記載の方法。
[9]
付加的な堆積後処理工程を更に含み、これが熱処理、UVアニール、e−ビーム処理、およびガンマ線処理の少なくとも1種を含む、上記態様1記載の方法。
[10]
前記堆積後処理工程が、UVアニールおよび熱処理の両方を含む、上記態様9記載の方法。
[11]
堆積されたままの前記膜が、30原子%以上の炭素を含み、13GPa以上の弾性率を有し、そして2.0GPa以上の膜硬度を有する、上記態様1記載の方法。
[12]
堆積されたままの前記膜が、続いてUV硬化され、そして該硬化された膜が25原子%以上の炭素を含み、15GPa以上の弾性率を有し、そして3.0GPa以上の硬度を有する、上記態様1記載の方法。
[13]
上記態様1記載の方法であって、
前記気体試薬が、ポロゲンを更に含み、
堆積されたままの前記膜が、該ポロゲンを含む予備膜であり、そして該方法が、
該予備膜から該ポロゲンの少なくとも一部を除去して、細孔を含み、そして2.6以下の誘電率を有する多孔性誘電体膜を提供する工程、
を更に含む、方法。
[14]
前記ポロゲンが、
一般式C 2n の環式炭化水素(式中、n=4〜14であり、環式構造中の炭素数は4〜10であり、そして環式構造上に1つもしくは2つ以上の単純な又は枝分かれした炭化水素置換基が存在してよい)、
一般式C (2n+2)−2m の直鎖もしくは枝分かれの飽和、単一もしくは複数の不飽和の炭化水素(式中、n=2〜20であり、m=0〜nである)、
一般式C 2n−2p の単一もしくは複数の不飽和の環式炭化水素(式中、pは分子中の不飽和部位の数であり、n=4〜14であり、環式構造中の炭素数は4〜10であり、そして環式構造上に1つもしくは2つ以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、
一般式C 2n−2 の二環式炭化水素(式中、n=4〜14であり、二環式構造中の炭素数は4〜12であり、そして環状構造上に1もしくは2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、
一般式C 2n−(2+2m) の複数不飽和の二環式炭化水素(式中、mは分子中の不飽和部位の数であり、n=4〜14であり、二環式構造中の炭素数は4〜12であり、そして環状構造上に1もしくは2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、ならびに、
一般式C 2n−4 の三環式炭化水素(式中、n=4〜14であり、三環構造中の炭素数は4〜12であり、そして環状構造上に1または2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、
からなる群から選択される少なくとも1種の化合物である、上記態様13記載の方法。
[15]
前記ポロゲンが、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1−メチル−4−(1−メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタン、エチレン、プロピレン、アセチレン、ネオヘキサン、1,3−ブタジエン、2−メチル−1,3−ブタジエン、2,3−ジメチル−2,3−ブタジエン、置換ジエン、パラ−シメン、シクロオクテン、1,5−シクロオクタジエン、シクロヘキセン、ビニルシクロヘキサン、ジメチルシクロヘキセン、α-テルピネン、ピネン、リモネン、ビニルシクロヘキセン、ノルボルナン、スピロ−ノナン、カンフェン、ノルボルネン、ノルボルナジエン、5−エチリデン−2−ノルボルネン、デカヒドロナフタレン、アダマンタンからなる群より選ばれる少なくとも1種の化合物を含む、上記態様14記載の方法。
[16]
前記膜構造が、−CH −メチレン橋掛けおよび−CH CH −エチレン橋掛けの少なくとも1種を含む、上記態様1記載の方法。

Claims (15)

  1. 式SiFz(式中、v+w+x+y+z=100%であり、vは10〜35原子%であり、wは10〜65原子%であり、xは5〜40原子%であり、yは10〜50原子%であり、そしてzは0〜15原子%である)により表される誘電体膜の製造方法であって、該方法は、
    反応チャンバ内に基材を提供すること、
    該反応チャンバ中に、シラ環状化合物を含む少なくとも1種の構造形成性前駆体を含む気体試薬を導入すること、該シラ環状化合物は、不純物を約100ppm未満しか含まない、および、
    該反応チャンバ中の該気体試薬にエネルギーを加え、該気体試薬の反応を誘導して、そしてそれによって該基材上に膜を堆積させること、
    を含み、
    前記シラ環状化合物が、下記の式I、
    Figure 0006813551
    (上式中、R は、水素、直鎖もしくは枝分かれC 〜C 10 アルキル基、直鎖もしくは枝分かれC 〜C 10 アルケニル基、直鎖もしくは枝分かれC 〜C 10 アルキニル基、C 〜C 10 環状アルキル基、C 〜C 10 複素環式アルキル基、C 〜C 10 アリール基またはアラルキル基、及び、C 〜C 10 ヘテロアリール基から選ばれ、R は水素、直鎖もしくは枝分かれC 〜C 10 アルキル基、直鎖もしくは枝分かれC 〜C 10 アルケニル基、直鎖もしくは枝分かれC 〜C 10 アルキニル基、C 〜C 10 環状アルキル基、C 〜C 10 複素環式アルキル基、C 〜C 10 アリールもしくはアラルキル基、及び、C 〜C 10 ヘテロアリール基から選ばれ、そしてR はSi原子と四員、五員又は六員飽和もしくは不飽和環を形成するC 〜C 10 アルキル二価基から選ばれる)、
    を有する化合物を含み、該シラ環状化合物が、不純物を約100ppm未満しか含まない、方法。
  2. 前記気体試薬が、テトラエトキシシランおよびテトラメトキシシランから選択された硬化剤を含む構造形成性試薬を更に含む、請求項1記載の方法。
  3. 前記シラ環状化合物が、1−メチル−1−アセトキシ−1−シラシクロペンタン、1−メチル−1−プロピオノキシ−1−シラシクロペンタン、1−メチル−1−アセトキシ−1−シラシクロブタン、1−メチル−1−プロピオノキシ−1−シラシクロブタン、1−メチル−1−アセトキシ−1−シラシクロヘキサン、1−メチル−1−プロピオノキシ−1−シラシクロヘキサン、1−エチル−1−アセトキシ−1−シラシクロペンタン、1−エチル−1−プロピオノキシ−1−シラシクロペンタン、1−エチル−1−アセトキシ−1−シラシクロブタン、1−エチル−1−プロピオノキシ−1−シラシクロブタン、1−エチル−1−アセトキシ−1−シラシクロヘキサン、1−エチル−1−プロピオノキシ−1−シラシクロヘキサンおよびそれらの組み合わせ、からなる群から選択された少なくとも1種の化合物を含む、請求項記載の方法。
  4. 前記シラ環状化合物が、1−メチル−1−アセトキシ−1−シラシクロペンタンを含む、請求項記載の方法。
  5. 前記方法が、プラズマ強化化学気相堆積である、請求項1記載の方法。
  6. 前記気体試薬が、O、NO、NO、NO、CO、水、H、オゾンおよびそれらの混合物からなる群から選択された少なくとも1種の酸化剤を更に含み、該気体試薬が酸化剤を含まない、請求項1記載の方法
  7. 前記加える工程において前記反応チャンバが、He、Ar、N、Kr、Xe、NH、H、CO、またはCOから選択される少なくとも1種の気体を更に含む、請求項1記載の方法。
  8. 付加的な堆積後処理工程を更に含み、これが熱処理、UVアニール、e−ビーム処理、およびガンマ線処理の少なくとも1種を含む、請求項1記載の方法。
  9. 前記堆積後処理工程が、UVアニールおよび熱処理の両方を含む、請求項記載の方法。
  10. 堆積されたままの前記膜が、30原子%以上の炭素を含み、13GPa以上の弾性率を有し、そして2.0GPa以上の膜硬度を有する、請求項1記載の方法。
  11. 堆積されたままの前記膜が、続いてUV硬化され、そして該硬化された膜が25原子%以上の炭素を含み、15GPa以上の弾性率を有し、そして3.0GPa以上の硬度を有する、請求項1記載の方法。
  12. 請求項1記載の方法であって、
    前記気体試薬が、ポロゲンを更に含み、
    堆積されたままの前記膜が、該ポロゲンを含む予備膜であり、そして該方法が、
    該予備膜から該ポロゲンの少なくとも一部を除去して、細孔を含み、そして2.6以下の誘電率を有する多孔性誘電体膜を提供する工程、
    を更に含む、方法。
  13. 前記ポロゲンが、
    一般式C2nの環式炭化水素(式中、n=4〜14であり、環式構造中の炭素数は4〜10であり、そして環式構造上に1つもしくは2つ以上の単純な又は枝分かれした炭化水素置換基が存在してよい)、
    一般式C(2n+2)−2mの直鎖もしくは枝分かれの飽和、単一もしくは複数の不飽和の炭化水素(式中、n=2〜20であり、m=0〜nである)、
    一般式C2n−2pの単一もしくは複数の不飽和の環式炭化水素(式中、pは分子中の不飽和部位の数であり、n=4〜14であり、環式構造中の炭素数は4〜10であり、そして環式構造上に1つもしくは2つ以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、
    一般式C2n−2の二環式炭化水素(式中、n=4〜14であり、二環式構造中の炭素数は4〜12であり、そして環状構造上に1もしくは2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、
    一般式C2n−(2+2m)の複数不飽和の二環式炭化水素(式中、mは分子中の不飽和部位の数であり、n=4〜14であり、二環式構造中の炭素数は4〜12であり、そして環状構造上に1もしくは2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい)、ならびに、
    一般式C2n−4の三環式炭化水素(式中、n=4〜14であり、三環構造中の炭素数は4〜12であり、そして環状構造上に1または2以上の単純なもしくは枝分かれの炭化水素置換基が存在してよい
    からなる群から選択される少なくとも1種の化合物である、請求項1記載の方法。
  14. 前記ポロゲンが、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1−メチル−4−(1−メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタン、エチレン、プロピレン、アセチレン、ネオヘキサン、1,3−ブタジエン、2−メチル−1,3−ブタジエン、2,3−ジメチル−2,3−ブタジエン、置換ジエン、パラ−シメン、シクロオクテン、1,5−シクロオクタジエン、シクロヘキセン、ビニルシクロヘキサン、ジメチルシクロヘキセン、α-テルピネン、ピネン、リモネン、ビニルシクロヘキセン、ノルボルナン、スピロ−ノナン、カンフェン、ノルボルネン、ノルボルナジエン、5−エチリデン−2−ノルボルネン、デカヒドロナフタレン、及びアダマンタンからなる群より選ばれる少なくとも1種の化合物を含む、請求項1記載の方法。
  15. 前記膜構造が、−CH−メチレン橋掛けおよび−CHCH−エチレン橋掛けの少なくとも1種を含む、請求項1記載の方法。
JP2018201797A 2017-10-27 2018-10-26 シラ環状化合物及びそれを用いたケイ素含有膜の堆積方法 Active JP6813551B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762578072P 2017-10-27 2017-10-27
US62/578,072 2017-10-27
US16/170,152 US20190134663A1 (en) 2017-10-27 2018-10-25 Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
US16/170,152 2018-10-25

Publications (2)

Publication Number Publication Date
JP2019081951A JP2019081951A (ja) 2019-05-30
JP6813551B2 true JP6813551B2 (ja) 2021-01-13

Family

ID=64051441

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018201797A Active JP6813551B2 (ja) 2017-10-27 2018-10-26 シラ環状化合物及びそれを用いたケイ素含有膜の堆積方法

Country Status (7)

Country Link
US (2) US20190134663A1 (ja)
EP (1) EP3476971B1 (ja)
JP (1) JP6813551B2 (ja)
KR (1) KR102232769B1 (ja)
CN (1) CN109722648B (ja)
SG (1) SG10201809520SA (ja)
TW (1) TWI690614B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043374B2 (en) * 2018-08-24 2021-06-22 Versum Materials Us, Llc Silacycloalkane compounds and methods for depositing silicon containing films using same
US11967498B2 (en) * 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1965367A (en) * 1931-10-30 1934-07-03 William L Brown Wrecking crane
US4965367A (en) * 1989-10-16 1990-10-23 Dow Corning Corporation Process for preparation of silacyclobutanes
JPH08191104A (ja) 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
KR100515584B1 (ko) * 2002-08-06 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP4530130B2 (ja) * 2004-01-16 2010-08-25 Jsr株式会社 ポリマー膜の形成方法
US8753985B2 (en) 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films

Also Published As

Publication number Publication date
US20210339280A1 (en) 2021-11-04
EP3476971A3 (en) 2019-07-24
KR102232769B1 (ko) 2021-03-25
TWI690614B (zh) 2020-04-11
SG10201809520SA (en) 2019-05-30
EP3476971B1 (en) 2020-06-17
TW201923135A (zh) 2019-06-16
JP2019081951A (ja) 2019-05-30
KR20190047646A (ko) 2019-05-08
CN109722648A (zh) 2019-05-07
CN109722648B (zh) 2021-06-25
EP3476971A2 (en) 2019-05-01
US20190134663A1 (en) 2019-05-09

Similar Documents

Publication Publication Date Title
JP7032266B2 (ja) アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
JP6993394B2 (ja) ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
US20200048286A1 (en) Silicon compounds and methods for depositing films using same
EP4325548A2 (en) Silicon compounds and methods for depositing films using same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201117

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201217

R150 Certificate of patent or registration of utility model

Ref document number: 6813551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250