TW574410B - Low dielectric constant material and method of processing by CVD - Google Patents

Low dielectric constant material and method of processing by CVD Download PDF

Info

Publication number
TW574410B
TW574410B TW91110449A TW91110449A TW574410B TW 574410 B TW574410 B TW 574410B TW 91110449 A TW91110449 A TW 91110449A TW 91110449 A TW91110449 A TW 91110449A TW 574410 B TW574410 B TW 574410B
Authority
TW
Taiwan
Prior art keywords
patent application
film
fluorine
gas
thin film
Prior art date
Application number
TW91110449A
Other languages
English (en)
Inventor
Mark Leonard O'neill
Brian Keith Peterson
Jean Louise Vincent
Raymond Nicholas Vrtis
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Application granted granted Critical
Publication of TW574410B publication Critical patent/TW574410B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/12Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • C03C14/008Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix the non-glass component being in molecular form
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

574410 A7 B7 五、發明說明(Ο 背景技術 IW-----------^裝—— (請先閱讀背面之注意事項再填寫本頁) 在電子工業中,將介電材料用作積體電路的電路和元件 與相應電子裝置之間的絕緣層。爲了增加微電子裝置(例如 電腦晶片)的速度和儲存容量,正日益減少線性尺寸。甚至 在過去的十年中,微晶片尺寸也已經明顯地減小了,以致 使,先前大於1微米的線寬度被降至0.1 8微米,其中,對於 製圖板未來的計劃是至少低至0.07微米。時間延遲表達 T=l/2 RCL2常常用來表達:在電路中進行信號傳播時尺寸 和材料改變的結果,式中T爲延遲時間,R爲導線電阻,C 爲介電層的電容,而L爲導線長度。電容可表示爲 OKA(S/d),式中K。是真空電容率或介電常數(等於1.0),k 是薄膜的介電常數,S是電極表面積而d是薄膜厚度。因此, k的降低將導致C成比例的下降並因此使延遲時間減少。此 外,當線性尺寸降低時,另外也需要具有更低介電常數的 更好的絕緣材料,以便防止在晶片組件之間發生信號交越 (aka串擾),這將對性能產生負面影響。 經濟部智慧財產局員工消費合作社印製 傳統上,將介電常數(k)爲4.2-4.5的二氧化矽用作夾層 介電材料(ILD)。然而,當線性尺寸爲0.25微米及更低時, 二氧化矽不再適用,並且已被其他材料廣泛地替代,如其 中k約3.6的氟化矽玻璃(FSG)。具體地說,在過去的一些年 間,已經硏究了:目的在於將非摻雜二氧化矽的k値降低而 將氟添加至二氧化矽中(例如參見US5,571,576 ; 5,661,093; 5,700,736; 5,703,404 ; 5,827,7 85和 5,872,065) ° 氟的高電負性導致了非常不可極化的物質,這降低了介電 4 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A7 B7 五、發明說明(2) 常數。氟化的二氧化矽在工業上已增加了其可接受性並用 於當代的ICs。 儘管氟化的二氧化矽材料具有所需的熱和機械穩定性 以經受很高的溫度(高達500°C ),但是,當將大量氟摻入所 述材料中時,所述材料的性能(例如,低吸水性,機械性能) 易於受損。氟化的有機材料,如聚(四氟乙烯),儘管具有 低至2.0或更低的k値,但對於在積體電路製備中的後續加 工步驟中所經受的溫度卻沒有足夠的穩定性。通常,有機 聚合物就目前條件下的加工而言,沒有足夠的機械強度。 另外,氟碳聚合物還具有其他的缺陷:如粘附力差、在高 溫下可能會與金屬發生反應、以及在某些情況下在高溫下 剛性差。爲了獲得所需的性能和低的介電常數値,可以提 供不僅摻入有機摻雜劑而且摻入無機氟類物質的二氧化矽 基介電薄膜作爲具有低於FSG的k値、並且具有比有機矽玻 璃(OSG)材料更好的熱和機械性能的薄膜,與此同時,保 持在1C製備中起夾層/中間層材料的作用所必需的性能。 經濟部智慧財產局員工消費合作社印製 —.— — — — — — — I — --- (請先閱讀背面之注意事項再填寫本頁) 近年來,OSG被認爲是FSG的替代品。而OSG材料被說 成是通過CVD工藝生產的將來的夾層/中間層介電體的選 擇。在已出版的許多專利中,包括有各種有機矽烷用於生 產約2.7-3.2的k値的薄膜的用途(例如參見US5,989,998 ; 6,054,379 ; 6,072,227 ; 6,147,009 和 US6,1 59,87 1,以及 W099/41423)。由於相對於FSG而言,OSG薄膜介電材料固 有更低的k値(<3.2),因此它正在商品化和/或由若干主要的 OEMs進行推廣,以便用於將來的ICs。然而,k値的下降必 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A7 B7 i、發明說明(3 ) 須與有機物通常具有的有害作用相平衡,所述有害作用包 括降低機械性能、熱穩定性和耐化學劑性。硏究表明,對 於OSG而言,優選的材料性能將介電常數値局限於2 8-3.2,而模量/硬度値爲9-im.2-l.4GPa(參見Lee等人在H-1 部分中的摘要No.531,第198屆電子化學協會會議;和 Golden等人的MICRO第31頁,2001年2月)。 某些近年的文獻和專利已提出了碳摻雜FSG材料的用 途。這些例子的絕大多數只是將氟碳材料作爲前驅物與石夕 前驅物源結合,並將氟碳部分摻入二氧化砂或F S G結構 中。例如,Shirafuji等人披露了:使六甲基二矽氧烷與八 氟丁烯(電漿和聚合物,4(1) (5 7-75),1 999.3)或四氟乙烯 (38 Jpn· J· Appl· Phys· 4520-26 (1999))進行電漿共聚,以 生產氟碳化物/SiO複合薄膜,其中k値從2.0增加至3.3,氟 碳化物含量下降。Yuri 等人(341(1,2) Thin Solid Films 1 09-1 1 (1 999))討論了氟碳化物添加到利用三乙氧基氟矽 烷和氧氣在氦電漿反應器中生產的SiOF薄膜的結果。 在二氧化矽中氟碳部分特定雜質的另一個例子是:Kim 等人的著作-在固體介電材料中傳導和斷路的1 998 IEEE 國際會議(1 998 IEEE International Conference On Conduction and Breakdown in Solid Dielectrics)第 229-32 頁(1998),其中描述了氟碳化物添加劑降低主要由二氧化 矽得到的材料的k値的能力。Kim等人的著作似乎主要致力 於通過利用於2%矽烷川2電漿中的CF4而摻入氟碳部分,以 便生產包含矽、氧、碳、氟、和氮的薄膜,其中它們能夠 6 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 · 經濟部智慧財產局員工消費合作社印製 574410 A7 B7 五、發明說明(4) 認同(identify) Si-C,Si-N,Si-O,和C-F的官能。另外還發 現,對於其組分而言,具有濃度分佈,由此,表面上氧的 濃度高於內部。 美國專利5,800,8 77 (“&6(^等人)描述了帶有8丨4鍵的有 機矽烷前驅物和沒有Si-F鍵的有機矽烷前驅物,與臭氧或 氧的混合物,在加熱法中用於生產含氟氧化矽薄膜的用 途。該專利的如申請專利範圍第項書包括了通過利用氧和/ 或氮電漿後處理的加熱法生產含氟氧化矽。該專利沒有描 述將烷基或碳摻入薄膜中。 在 Hasegawa 等人的論文中(37 Jpn· J. Appl· Phys· 4904-09 (1998)),氟化二氧化矽增加的耐水性是在電漿增強的 CVD體系中利用矽烷、氧、CF4和氨的混合物進行沈積的動 力。當通過XPS光譜進行解釋時,業已發現,沈積的薄膜 包含大量Si-N和C-F鍵。通過摻入Si-N而增加耐水性,將對 k値產生負面影響。 在上述同一組的類似著作中,Lubguban等人(337 Thin Solid Films 67-70 (1999),606材料硏究協會專題論文集57 (2000),和87 (8)應用物理雜誌3715_22 (2000))討論了通過 ΡΕ-CVD以增強耐水性,而將碳引入氟矽酸鹽玻璃中。所述 材料由矽烷或TEOS、氧、甲烷和全氟甲烷合成,並且就其 組分、熱穩定性、和電學性能進行了硏究。Lubguban等人 建議:將碳和氟引入二氧化矽結構中以降低介電常數。在 反應期間,引入沈積室中曱烷量的增加將導致最終材料中 碳和氟量的增加,據說這是由於C-F官能度的大量分佈所造 7 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
m I i-i fen I ϋ I 一一口, ϋ an 1 ϋ I %· 經濟部智慧財產局員工消費合作社印製 574410 A7 B7 i、發明說明(5) 成的。如其論文所述,C-F和C-H物質的存在將促進抗吸水 性並有助於降低介電常數。 在Fujitsu的日本專利中(JP1 01 50036A2),通過旋塗法沈 積的有機矽材料具有在電漿反應器中用?2或後沈積 處理步驟,以便通過在薄膜中形成氟碳物而增加薄膜的耐 熱性、降低吸水性、並增加材料可靠性。Fujitsu其他的專 利(JP8321499A2和JP11111712A2)也討論了利用含氟碳基 團的矽基前驅物,通過等離子CVD,形成含摻入的氟碳物 的二氧化矽薄膜。
Uchida等人披露了改進水分容許量的氟化有機二氧化 矽薄膜。例如參見98(3)電化學協會,1 63-8 (1998),37 Jpn. J. Appl. Phys. 6369-73 (1998), 38 Jpn. J. Appl. Phys. 2368-72 (1999)和JP1111 171 4A。在這些論文中,作者指出: FSG和OSG的性能可以進行補償,以致使,具有兩者功能 的材料可以利用其強度,儘管這幾乎沒有支援的資料。作 者試圖通過描述一方法來證明這種斷言的優點,在所述方 法中,在加熱過程中,由叔甲胺(TMA)、四異氰酸酯-矽烷 (TICS)、二甲基二異氰酸酯-矽烷(DMSIC)和優選地二甲基 乙基胺(DMA)的混合物而沈積有機矽材料,從而產生不含 氫和羥基的二氧化矽薄膜。這種沈積薄膜在加熱過程中用 HF氣體進行後處理,以便用氟置換異氰酸酯類,並且生產 出的薄膜具有更低的介電常數和更好的水分容許量。然 而,所生產出的薄膜包括有C-Si和C-F官能團。另外,由於 該薄膜通常也是基於擴散法如化學後處理的,因此,將導 8 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
1 1 ^1 β ϋ ϋ 1 I ---· 經濟部智慧財產局員工消費合作社印製 574410 A7 B7 五、 發明說明(6 致通過薄膜深度所產生的組分梯度。在該方法中,對薄膜 進行化學改進的用量和均勻性很難控制。 美國專利6,077,574 (Usami)披露了形成摻雜有特定量的 氟和碳的等離子CVD氧化矽介電薄膜的方法,其中,由原 料氣體的混合物沈積該薄膜,所述方法能夠彼此獨立地控 制氟的濃度和碳的濃度。基於所披露的濃度範圍,4.0 X 1 021 氟原子/cc至1.0 X 1 022氟原子/cc,和3.0乂1019碳原子/^至 1.0X1021碳原子/cc,這些薄膜中的原子比([碳]/[氟])低於 或等於0.25。有關薄膜內形成的官能團,沒有給出任何資 料。其中給出了 :二氧化矽的密度約爲2.2g/cc,這相當於6.6 X 1 022原子/cc,氟和碳的濃度估計約爲6-15原子%氟和約 0.05-1.5原子%碳。 儘管前述的這些發展,但是,在現有技術中’還沒有將 希望的機械、介電、熱和氧化穩定性這樣的性能成功地結 合的任何例子,這對於將低k介電材料用於積體電路是最爲 重要的。 在此引證的所有參考文獻均引入作爲參考。 發明槪述 本發明提供摻雜碳的氟矽酸鹽玻璃(即CFSG或0FSG-有 機氟矽酸鹽玻璃)的薄膜’所述薄膜包含有機物和無機氟’ 不含大量氟碳物質。 更具體地說,本發明優選的薄膜由下式表示: SivOwCxHyFz,式中 v + w+x + y + z=l 〇〇°/° ’ ¥從 1 〇-3 5原子 % ’ w從 9 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 丨*---:-------·裝--------訂--------- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 574410
、發明說明( 經濟部智慧財產局員工消費合作社印製 1〇-65原子%,7從1〇-5 0原子°/。,乂從2-30原子%,2從0.1-15 原子%,其中,實質上沒有氟連接至碳上。 另外,本發明還提供了由下式表示的薄膜:SivOwCxHyFz, 式中 v+ w + x + y + z=l 00%,v從 10-35原子%,〜從10-65 原子 % ’ y從10-50原子%,X從1-30原子%,z從0.1-15原子%,前 提條件是,x/z>0.25,其中,實質上沒有氟連接至碳上。 另外,本發明還提供生產所述薄膜的化學氣相沈積法, 包括:(a)在真空室內提供基材;(b)將氣相反應劑引入真空 室中,所述反應劑包含供氟氣體、供氧氣體、和至少一種 選自有機矽烷和有機矽氧烷的前驅物氣體;和(c)對所述室 中的氣相反應劑施加能量,以使氣相反應劑發生反應並在 基材上形成薄膜。 另外還提供了 :通過有機矽烷的化學氣相沈積而生產有 機二氧化矽玻璃的改進方法,其中改進之處包括:使得自 無機氟源的無機氟與至少一部分有機矽烷進行共沈積。 發明的詳細說明 相對於OSG材料,本發明的優選實施方案提供了具有低 介電常數和改進的機械性能、熱穩定性、和耐化學劑性 (氧、含水環境等)的薄膜材料。這是由於在不產生大量有 機氟(例如C-F鍵)的情況下,將碳(可以是碳化矽,但優選 主要呈有機碳形式’ -CHx’其中X爲1_3)和無機氟(例如si-F鍵)摻入薄膜中所造成的。因此,最終的薄膜材料優選包 含:Si-O, Si-F,C-H和Si-C鍵合結構,基本不含、更優選完 10 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I.--I---裝--------訂------11 (請先閱讀背面之注意事項再填寫本頁) 574410 A7 B7 五、發明說明(8 ) 全不含C-F鍵,並且優選的是,絕大多數氫鍵合至碳上。另 外,在本發明的某些薄膜中,可以存在較少量的其他官能 團,如 Si-H,C-0和 0-H。 因此,本發明優選的實施方案包含:(a)約10-3 5原子%、 更優選約20-3 0原子%的矽;(b)約10-65原子%,更優選約 20-40原子%的氧;(c)約10-50原子%、更優選約20-40原子 %的氫;(d)約1-30原子%、更優選約5-25原子%的碳;和(e) 約0.1-15原子%、更優選約0.5-7.0原子%的氟。在本發明的 某些薄膜中,也可以存在較少量的其他元素。 由於FSG材料和OSG材料的介電常數低於工業中使用的 標準材料-二氧化矽玻璃,因此它們均被認爲是低k値材 料。將無機氟和有機碳相結合摻入薄膜中,將對最終材料 的k値產生協同作用。這在不同的方法中本身就顯示了。例 如,所述薄膜可以具有與OSG材料相當的機械性能,而且 具有更低的k値;或者可以具有相當的k値,而且具有優異 的機械性能。 將甲基基團引入二氧化矽薄膜中,可以將納米多孔性賦 予薄膜,這將有助於降低薄膜的k値,但也將降低薄膜的機 械性能。本發明的薄膜優選包含約1 -30原子%的碳或約2-30 原子%的碳,其中絕大多數氫連接至碳上。優選的是,一 部分C-H官能團位於甲基基團上。通常,引入多孔性是降 低材料介電常數的有效方法。儘管增加多孔性將影響薄膜 的機械性能和傳熱性能(例如延展性能),但不會改變薄膜 固有的化學或熱穩定性。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) (請先閱讀背面之注意事項再填寫本頁) 裝--------訂_| ------- 經濟部智慧財產局員工消費合作社印製 574410 A7 _____B7 _ 五、發明說明(9 ) (請先閱讀背面之注意事項再填寫本頁) 相對於二氧化矽而言,某些實施方案的薄膜是納米多孔 性的。由PE-CVD TEOS生產的二氧化矽具有:通過正電子消 滅生印先譜(positron annihilation lifetime spectroscopy) (PALS)分析確定的固有自由體積孔徑,用相當球形直徑表 示約爲〇. 6納米。本發明薄膜的孔徑,當通過小角度中子散 射(SANS)或PALS進行測量時,用相當球形直徑表示優選小 於5納米,更優選小於2.5納米。 優選的是,本發明的薄膜的密度低於2.0g/cc,或低於 1.5g/cc。如此低的密度可通過將p〇rogeri添加至氣相反應劑 中和/或添加至後處理沈積材料中而實現。 相對於OSG材料,本發明的薄膜具有改進的性能。所給 出的0FSG材料將具有優於等量化學計算量的0SG材料的 機械性能,但是在0SG材料中沒有任何氟。例如本發明 OFSG材料的優選實施方案,具有低於3·5的介電常數,更 優選的是低於3.0。在某些實施方案中,所述薄膜的介電常 數在2.8-3.2的範圍內,其中彈性模量大於10GPa和/或納米 壓痕硬度大於1.5GPa。 經濟部智慧財產局員工消費合作社印製 本發明的薄膜是熱穩定的,並具有良好的耐化學劑性。 特別是,在425°C的溫度,在氮氣氣氛下,所述薄膜具有低 於l.Owt%/小時等溫的平均重量損失,和/或在425°c,在空 氣中,具有低於l.Owt%/小時等溫的平均重量損失。 本發明的薄膜適用於多種用途。所述薄膜特別適用於在 半導體基材上的沈積,並且特別適用作例如積體電路中的 絕緣層、夾層介電層、金屬層間介電層、保護層、化學一 12 574410 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(10) 機械平面化(CMP)或蝕刻停止層、阻障層(例如防止在絕 緣層中不希望的金屬、水或其他材料的擴散)和/或粘結 層。所述薄膜能夠形成一個敷形塗覆。由這些薄膜顯示出 的機械性能使之特別適用於:脫鋁技術(A1 subtractive technology)和銅鑲嵌技術(Cu Damascene technology)中。 本發明的薄膜與化學機械平面化作用和各向異性蝕刻 相適應,並且能夠粘結至許多材料上,如矽、二氧化矽、 Si3N4、OSG、FSG、碳化矽、防反射塗料、光刻膠、有機 聚合物、多孔有機和無機材料、金屬如銅和鋁、以及金屬 阻障層。 儘管本發明特別適合於提供薄膜,並且在此將本發明的 產品廣泛地描述爲薄膜,但本發明並不局限於此。本發明 的產品能夠以任何能通過CVD沈積的形式提供,如塗層、 多層元件、以及無需是平面的或薄的其他物體類形,和無 需用於積體電路的多種物體。 除本發明的產品以外,本發明還包括:通過其製備所述 產品的方法,以及所述產品的使用方法。 在某些實施方案中,本發明包含:通過化學氣相沈積而 沈積0SG的改進方法,其中,在至少一部分〇SG材料沈積 期間’無機氟源與無機氟共沈積,從而生產出基本不含有 機氟的薄膜。因此,本發明可用來改善先前的、同時代的 和將來的方法,包括披露於如下專利中並要求保護的那些 方法:US 6,054,379 ; 6,147,009 和 6,1 59,871 和 W0 99/41423。通過所述改進方法生產的產品,相對於常規生 13 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 1·---:-------·裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明(n) 產的產品,具有改善的性能。優選的是,薄膜的至少一種 機械性能將增加至少10%,薄膜的熱穩定性將增加,薄膜 的化學穩定性將增加和/或薄膜的環境穩定性將增加。 獲得具有低介電常數薄膜的該方法包括如下步驟:(^ 在真空室內提供基材;(b)將氣相反應劑引入真空室中,所 述反應劑包含供氟氣體、供氧氣體、和至少一種選自有機 矽烷和有機矽氧烷的前驅物氣體;和(c)對所述室中的氣相 反應劑施加能量,以使氣相反應劑發生反應並在基材上形 成薄膜。 優選的是,所述基材爲半導體。 有機矽烷和有機矽氧烷是優選的前驅物氣體。合適的有 機矽烷和有機矽氧烷包括例如:(a)由式R^SiR2^表示的烷 基矽烷,其中η爲1-3的整數;R1和R2獨立地爲至少一種支 鏈或直鏈。^^烷基基團(例如甲基,乙基),03-08取代或未 取代的環烷基基團(例如環丁基,環己基),C3-C1()部分不飽 和的烷基基團(例如丙烯基,丁二烯基),C6-C12取代或未取 代的芳基(例如苯基,甲苯基),相應的線性、支鏈、環狀、 部分不飽和的烷基,或包含烷氧基的芳基(例如甲氧基,乙 氧基,苯氧基),並且R2另外可以是氫化物(例如,甲基矽 烷,二甲基矽烷,三甲基矽烷,四甲基矽烷,苯基矽烷, 甲基苯基矽烷,環己基矽烷,叔丁基矽烷,乙基矽烷,二 乙基矽烷,四乙氧基矽烷,二甲基二乙氧基矽烷,二甲基 二甲氧基政院,二甲基乙氧基砂院,甲基二乙氧基5夕院, 三乙氧基矽烷,三甲基苯氧基矽烷和苯氧基矽烷);(b)由 14 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公ίΐ " 丨·----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(12) 式{^(R^SiOhSiR%表示的有機矽氧烷,式中η爲卜10的整 數;或由式示的環狀有機矽氧烷,式中η爲2-10的整數並且R1和R2如上定義(例如1,3,5,7-四甲基環四矽 氧烷,八甲基環四矽氧烷,六甲基環三矽氧烷,六甲基二 矽氧烷,1,1,2,2-四甲基二矽氧烷,和八甲基三矽氧烷);和(c) 由式RYSil^RlR2表示的線性有機矽烷低聚物,式中η爲 2-10的整數;或由式(SiWh表示的環狀有機矽烷,式中η 爲3-10的整數,並且R1和R2如上定義(例如,1,2-二甲基二 矽烷,1,1,2,2-四甲基二矽烷,1,2-二甲基-1,1,2,2-二甲氧 基二矽烷,六甲基二矽烷,八甲基三矽烷,1,2,3,4,5,6-六 苯基六矽烷,1,2·二甲基-1,2-二苯基二矽烷和1,2-二苯基二 矽烷)。 在某些實施方案中,所述有機矽烷/有機矽氧烷爲環狀 烷基矽烷,環狀烷氧基矽烷或包含至少一個在一對矽原子 之間的烷氧基或烷基橋,如1,2-二矽烷基乙烷,1,3-二矽烷 基丙烷,二甲基矽雜環丁烷,1,2-二(三甲基甲矽烷氧基) 環丁烷,M·二甲基-1-矽雜-2,6-二氧雜環己烷,1,1-二甲 基-1-矽雜-2-氧雜環己烷,1,2-二(三甲基甲矽烷氧基)乙 烷,1,4-二(二甲基甲矽烷基)苯或1,3-(二甲基甲矽烷基)環 丁烷。 在某些實施方案中,有機矽烷/有機矽氧烷包含反應性 側基,所述側基選自:環氧化物,羧化物,炔烴,二烯, 苯基乙炔基,應變(strained)環基和C4-C1()基團,所述側基 能夠在空間上對有機矽烷/有機矽氧烷進行位阻或使之應 15 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1·---:-------#裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 A7 B7 五、發明說明(13) 變;如三甲基甲矽烷基乙炔,1-(三甲基甲矽烷基)-1,3-丁 二烯,三甲基甲矽烷基環戊二烯,三甲基甲矽烷基乙酸酯 和二叔丁氧基二乙氧基矽烷。 優選的供氟氣體沒有任何F-C鍵(即鍵合至碳上的氟), 所述鍵的末端在薄膜上。因此,優選的供氟氣體包括例如: SiF4,NF3,F2,HF,SF6,C1F3,BF3,BrF3,SF4,NF2Cn, FSiH3,F2SiH2,F3SiH,有機氟矽烷及其混合物,前提條 件是,所述有機氟矽烷不包括任何F-C鍵。另外優選的供氟 氣體包括上述的烷基矽烷,烷氧基矽烷,線性和環狀有機 矽氧烷,線性和環狀有機矽烷低聚物,環狀或橋連的有機 矽烷,以及帶反應性側基的有機矽烷,前提條件是,氟原 子替代了至少一個矽取代基,以致使至少有一個Si-F鍵。 更具體地說,合適的供氟氣體包括例如:氟三甲基矽烷, 二氟二甲基矽烷,甲基三氟矽烷,氟三乙氧基矽烷,1,2-二氟-1,1,2,2-四甲基二矽烷,或二氟二甲氧基矽烷。 合適的供氧氣體包括例如:〇2,N20,臭氧,過氧化氫, NO,N02, N204,或其混合物。 對於起多於前驅物氣體、供氧氣體和供氟氣體之一作用 的分子的單一物質而言,也落入本發明的範圍內。即,前 驅物氣體、供氧氣體和供氟氣體無需是三種不同的氣體。 例如,可能使用二甲氧基甲基氟矽烷,以提供矽、碳、氧 和氟。另外也可能使用單氣體,從而起前驅物氣體和供氧 氣體的作用(例如,四乙氧基矽烷、三甲基甲矽烷基乙酸 酯或二甲氧基二甲基矽烷,其每一種均提供碳、氧和矽); 16 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝!— I 訂·! ----- 經濟部智慧財產局員工消費合作社印製 574410 經濟部智慧財產局員工消費合作社印製 A7 B7 1、發明說明(14) 並且也可能使用單一氣體從而起前驅物氣體和供氟氣體的 作用(例如,三甲基氟矽烷,它提供碳、氟和矽),等等。 在任何情況下,優選的是,避免使用含C-F官能團的任何反 應劑。 儘管措詞“氣相反應劑”在此有時用來描述該反應 劑,但該措詞將包括··以氣體形式直接輸送至反應器中的 反應劑、以汽化液體的形式、以昇華固體形式和/或通過惰 性載氣輸送入反應器中的反應劑。 在某些實施方案中,結合使用不同有機矽烷和/或有機 矽氧烷的混合物。另外也在本發明範圍內的是,使用多種 不同供氟氣體的混合物和/或多種不同供氧劑的混合物, 其與不同有機矽烷和/或有機矽氧烷的混合物一同使用或 分開使用。此外,也在本發明範圍內的是,與非氟化有機 矽烷(以提供碳)一起使用氟化有機矽烷(以提供氟和/或 碳)。 除供氟氣體,供氧氣體和有機矽烷/有機矽氧烷以外, 還可以在沈積反應之前、期間和/或之後將其他物料裝入 真空室內。這樣的物料包括例如:惰性氣體(例如1^,八1:, N2 , Kr, Xe等,對於不太揮發的前驅物,可會g需要它們作 爲載氣,和/或它們能夠促進已沈積材料的退火並提供更爲 穩定的最終薄膜)和反應性物質,如氣相或液相有機物, NH3,H2,C02,或 C0。有機物如 ch4,c2h6,C2H4,C2H2, C3H8,苯,,甲苯和苯乙烯能夠提供用於摻雜在本發明 薄膜中的碳。 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨‘---:-------•裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 A7 _B7_ 五、發明說明(15) 將能量施加至氣相反應劑上,以便使氣體發生反應並在 基材上形成薄膜。所述能量能夠通過例如如下方式來提 供:熱、電漿、脈衝電漿、氦電漿、高密度電漿、感應偶 合電漿、和遠端電漿的方法。能夠用次級rf頻率源來改善 基材表面的電漿特性。優選的是,通過電槳增強的化學氣 相沈積而形成薄膜。特別優選的是,產生頻率爲13.56 MHz 的電容耦合電漿。以基材的表面積計,電漿的功率優選從 0.02-7瓦/釐米2,更優選從0.3-3瓦/釐米2。 每種氣相反應劑的流量優選爲每個單個200 mm的片從 1 0-5000 sccm,更優選從200-2000 seem。選擇獨立的流量, 以便在薄膜中提供所需量和所需比率的氟,碳等。實際需 要的流量可取決於片的大小和室的結構,並且決不局限於 200 mm的片或單片室。 優選的是,以至少50 nm/miii的沈積速率沈積薄膜。 在沈積期間,真空室中的壓力優選從〇.〇1-600托,更優選 從1-10托。 就200mm片基而言,基於將三甲基矽烷用作有機矽烷前 驅物的本發明的優選配方示於下表1中。 丨·—丨丨丨丨—丨丨丨®^ •丨! — !訂 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(16) 表1 壓力(托) 4.0 基材溫度(°c) 350 間距(mil) 320 RF功率(瓦) 400 三甲基砂院流量(seem) 540 〇2流量(seem) 90 SiF4流量(seem) 250 優選的是,以尚產量,單一處理步驟完成本發明的方 法。不被任何理論束服,可以認爲,本發明的方法提供了: 在薄膜整個截面上具有更爲均勻分佈的無機氟的薄膜,這 與如由Uchida等人教導的多步驟後處理氟化方法不同。此 外,存在於本發明薄膜中的非實質量的有機氟(其中“非實 質”在此定義爲低於5%的總氟含量,更優選低於1 %的總氟 含量)也更爲均勻地分佈在薄膜的整個截面上並且不是中 央濃集的。 儘管在許多情況下單一處理步驟是優選的,但在沈積之 後對薄膜進行後處理,也在本發明的範圍內。所述的後處 理可包括例如下面處理至少之一:熱處理、電漿處理和化 學處理。 優選使薄膜沈積成0.002-10微米的厚度,儘管厚度可以 根據需要改變。沈積至非構圖表面上的覆蓋薄膜具有優異 的均勻性,當適當排除邊緣時,在基材上1個標準偏差範圍 19 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨,----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(17 內的厚度變化低於2%,其中,例如在進行均勻性統計計算 時,不包括基材10毫米的外緣。 薄膜的多孔性將隨著鬆密度相應的降低而增加,從而進 一步降低材料的介電常數,並將該材料的可應用性延伸至 未來的改進型(例如k<2.5)。 附圖說明 下面將結合附圖對本發明進行描述,其中相同的參考號 表示相同的元素: 圖la示出了本發明薄膜一實施方案的等溫TGA ; 圖lb示出了現有技術薄膜的等溫TGA ; 圖2a示出了本發明薄膜一實施方案的TGA掃描; 圖2b示出了現有技術薄膜的TGA掃描; 圖3示出了本發明薄膜一實施方案和現有技術薄膜的IR 分佈; 圖4示出了本發明薄膜一實施方案的13C NMR光譜。 下面將參考實施例更詳細地闡明本發明,但應當理解的 是,本發明並不侷限於此。 實施例 利用未摻雜的TEOS處理裝備,在裝有Advance Energy 2000 rf發生器的 200mm DxZ室中,在 Applied Materials P r e c i s i ο η - 5 0 0 0系統中進彳了所有試驗。該方法包括如下基本 20 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1·---;-------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 574410 A7 B7 五、發明說明(18) 步驟:氣流的起始設置和穩定化,沈積,並在將片除去之前 對室進行吹洗/排空。隨後,在每次沈積之後,利用c2F6+o2 的就地淸洗而對室進行淸洗,之後是室乾燥步驟。 利用Hg探頭工藝,在低電阻P-型晶片(<0.02ohm-cm)上 測量介電常數,利用MTS Nano壓頭測量機械性能,在耦合 至MIDAC紅外分光光度計(TGA-IR)的Thermo TA Instruments 2 05 0 TGA上,通過熱比重分析而測量熱穩定性 和尾氣產物。利用Bruker ASX-200獲得13C-NMR資料,在 Physical Electronics 5000LS上,通過X-射線光電子能譜法 獲得成分資料。 根據 US 6,159,871 和 6,054,379,以及 WO 99/41 123 的教 導,產生對比例1-6,並將列於下表2中。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 21 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A7 B7 五、發明說明(19) 表2·由三甲基矽烷和氧進行OSG沈積的資料 對比例編號 1 2 3 4 5 6 基材溫度(°c) 350 3 50 350 350 350 350 厚度(nm) 668 633 661 669 1324 643 均勻性( + /-) 8 6 7 12 5 折射率 1.442 1.439 1.444 1.441 1.431 1.4445 均勻性( + /-) 0.0073 0.0070 0.0090 0.007 3 0.0047 平均 Cap./Hg (PF) 38 39.1 37.5 2 0.9 介電常數 3.03 2.97 2.99 3.02 粘附力(帶牽弓丨) 100% 100% 100% 100% 100% 100% 楊氏模數(GPa) 8.7 9.0 8.4 7.6 7.1 8.2 NI硬度(GPa) 1.4 1.4 1.3 1.1 1.1 1.3 元素(XPS)%C 22.2 23.3 23.5 23.5 22.4 %Si 32.7 35.2 35 35.1 35.3 %0 44.3 41.1 41.2 41.4 42.3 %F 0 0 0 0 0 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 註:對於表2,原子%氫沒被XPS測量。 將根據本發明生產的若干不同薄膜的物理硏究列於下 表3中(注:對於表3,原子%氫沒被XPS測量) 22 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A7 B7 22 - i、發明說明() 期望的。 圖4示出了實施例16的薄膜的13C NMR光譜,其中, 沒有任何有機氟的可見信號,可以預期的是,在約+90PPm 處顯示出-CH2F信號,至約+150ppm處顯示出-CF3信號。 由該工藝觀察到的唯一形式的碳是連接至矽上的碳,示出 了約 Oppm 的回應中心(response centered)。 因此,本發明提供了薄膜和所述薄膜的製備方法,其中, 所述薄膜實質上或完全不含C-F鍵,並且相對於〇SG材 料,具有低的介電常數和改進的機械性能,熱穩定性,和 耐化學劑性(氧,含水環境等)。 實施例21 對於200mm的矽片基材而言,基於將1,3,5,7-四甲基環 四矽氧烷(TMCTS)用作有機矽烷前驅物的本發明預期的實 施例示於下列表4中。 表4 壓力(托) 4.0 基材溫度(°c) 350 間距(mil) 250 RF功率(瓦) 300 TMCTS (g/min) 1.0 〇2 流量(seem) 50 SF4 流量(seem) 150 25 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 一裝 ----訂--------- 經濟部智慧財產局員工消費合作社印製 574410 A7 __ B7 —" ΤΓ —- 五、發明說明() 預期的k値將在2·8-3.0的範圍內,其中楊氏模數約 15GPa,納米壓痕硬度約2GPa 〇 (請先閱讀背面之注意事項再填寫本頁) 對於200mm的矽片基材而言,基於將二甲基二甲氧基矽 院(D M D Μ Ο S)用作有機砂垸則驅物的本發明預期的實施例 示於下列表5中。 表5 壓力(托) 4.0 基材溫度(°c) 350 間距(mil) 250 RF功率(瓦) 500 DMDMOS (g/min) 1.0 〇2 流量(seem) 100 SF4 流量(seem) 200 所希望的k値在2.8-3 ·0的範圍內,其中楊氏模數約 15GPa,納米壓痕硬度約2GPa 〇 對於200mm的矽片基材而言,基於將二乙氧基甲基矽 烷(DEMS)用作有機矽烷前驅物的本發明預期的實施例示 經濟部智慧財產局員工消費合作社印製 方令下列表6中。 26 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 24 574410 A7 B7 五、發明說明() 表6 壓力(托) 4.0 基材溫度(°c ) 350 間距(mil) 250 RF功率(瓦) 300 DEMS (g/min) 1.0 〇2 流量(seem) 100 SF4 流量(seem) 200 所希望的k値在2.8-3.0的範圍內,其中楊氏模數約 15GPa,納米壓痕硬度約2GPa 〇 儘管詳細地並參考其特定的實施例,對本發明進行了描 述,但對於本領域普通技術人員顯而易見的是,在不脫離 本發明的精神和範圍下,將能夠作出各種改變和改進。 (請先閱讀背面之注意事項再填寫本頁) 一裝--------訂--- 經濟部智慧財產局員工消費合作社印製 27 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 574410 A8B8C8D8 蜉00¾年逐
    經濟部智慧財產局員工消費合作社印製 六、 I. 一種由式Siv〇WCXHyFZ表示的薄膜,式中. v + w+x + y+z=100%,v 從 10-35 原子%,w 從 10-65 原子%,y 從10-50原子%,X從2-30原子%,z從0.1-15原子%,其 中,95%以上之氟未與碳鍵結。 2·如申請專利範圍第1項的薄膜,其中,絕大多數氫連 接至碳上。 3. 如申請專利範圍第1項的薄膜,其介電常數低於3.5。 4. 如申請專利範圍第1項的薄膜,其介電常數低於3.0。 5. 如申請專利範圍第1項的薄膜,其鬆密度低於2.0g/cc。 6. 如申請專利範圍第1項的薄膜,其鬆密度低於1.5g/cc。 7. 如申請專利範圍第1項的薄膜,當通過小角度中子散 射或正電子消滅生命光譜進行測量時,其當量球形直徑小 於5納米。 8. 如申請專利範圍第1項的薄膜,當通過小角度中子散 射或正電子消滅生命光譜進行測量時,其當量球形直徑小 於2.5納米。 9. 如申請專利範圍第1項的薄膜,以積體電路中的絕緣 層、夾層介電層、金屬層間介電層、保護層、化學-機械 平面化或蝕刻停止層、阻障層或粘結層的形式提供。 10. 如申請專利範圍第1項的薄膜,在425°C等溫於氮氣 氛下的平均重量損失低於1.0%/小時。 II. 如申請專利範圍第1項的薄膜,在425°C等溫於空氣 中的平均重量損失低於1·〇%/小時。 12.如申請專利範圍第1項的薄膜,當根據小角度中子散 28 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --·------------Aw-------—訂---------線 (請先閱讀背面之注意事項再填寫本頁) 574410 經濟部智慧財產局員工消費合作社印製 g88 (2003年5月修正)六、申請專利範圍 射或正電子消滅生命光譜進行測量時,其鬆密度低於 1.5g/cc,孔徑小於2.5納米當量球形直徑,其中絕大多數 氫連接至碳上,並且所述薄膜以積體電路中的絕緣層、夾 層介電層、金屬層間介電層、保護層、化學-機械平面化 或蝕刻停止層、阻障層或粘結層的形式沈積至基材上。 .13·如申請專利範圍第1項的薄膜,其中χ/ζ>〇.25。 14. 一種式Siv〇wCxHyFz表示的薄膜,式中 v + w + x+y + z=100%,v 從 10-35 原子 %,w 從 10-65 原子 %,y 從10-50原子%,X從1-30原子%,z從0.1-15原子%,前 提條件是,χ/ζ>0·25,其中,95%以上之氟未與碳鍵結。 15. 如申請專利範圍第14項的薄膜,當根據小角度中子 散射或正電子消滅生命光譜進行測量時,其鬆密度低於 1.5g/cc,孔徑小於2.5納米當量球形直徑,其中絕大多數 氫連接至碳上,並且所述薄膜以積體電路中的絕緣層、夾 層介電層、金屬層間介電層、保護層、化學-機械平面化 或蝕刻停止層、阻障層或粘結層的形式沈積至基材上。 16. —種用於生產如申請專利範圍第1項薄膜的化學氣 相沈積法,所述方法包括: (a) 在真空室內提供基材; (b) 將氣相反應劑引入真空室中,所述反應劑包含供氟氣 體、供氧氣體、和至少一種選自有機矽烷和有機矽氧烷的 前驅物氣體;和 (c) 對所述室中的氣相反應劑施加能量,以使氣相反應劑 發生反應並在基材上形成薄膜。 29 (請先閱讀背面之注意事項再填寫本頁) · 訂---------線· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 574410 A8 B8 g (2003年5月修正) 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 17. 如申請專利範圍第16項的方法,其中,至少一種前 驅物氣體是通式以以以^表示的烷基矽烷,其中η爲1-3 的整數;R1和R2獨立地爲至少一種支鏈或直鏈Ci-Cs烷基 基團,C3-C8取代或未取代的環烷基基團,C2-G。部分不飽 和的烷基基團,C6-C12取代或未取代的芳基,相應的線性、 支鏈、環狀、部分不飽和的烷基,或包含烷氧基的芳基, 並且R2另外可以是氫化物。 18. 如申請專利範圍第17項的方法,其中烷基矽烷選自·. 甲基矽烷,二甲基矽烷,三甲基矽烷,四甲基矽烷,苯基 矽烷,甲基苯基矽烷,環己基矽烷,叔丁基矽烷,乙基矽 烷,二乙基矽烷,四乙氧基矽烷,二甲基二乙氧基矽烷, 二甲基二甲氧基矽烷,二甲基乙氧基矽烷,甲基二乙氧基 矽烷,三乙氧基矽烷,三甲基苯氧基矽烷和苯氧基矽烷。 經濟部智慧財產局員工消費合作社印製 19. 如申請專利範圍第16項的方法,其中至少一種供氟 氣體和至少一種前驅物具有式R^SiFm,式中,η爲1-3的 整數;R1爲至少一種支鏈或直鏈C — C8烷基基團,C3-C8取 代或未取代的環烷基基團,C2-C1()部分不飽和的烷基基團, C6-C12取代或未取代的芳基,相應的線性、支鏈、環狀、 部分不飽和的烷基,或包含烷氧基的芳基。 20·如申請專利範圍第19項的方法,其中,至少一種供 氟氣體和至少一種前驅物是氟三甲基矽烷,二氟二甲基矽 烷,甲基三氟矽烷,氟三乙氧基矽烷或二氟二甲氧基矽烷。 21·如申請專利範圍第16項的方法,其中至少一種前驅 物是下式I的線性有機矽氧烷:RWdiCOnSiR%,式中η爲 30 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ' 574410 A8 B8 C8 D8 (2003年5月修正) 力、申請專利範圍 1-10的整數;或由下式II表示的環狀有機矽氧烷·· (WSiCOn,式中η爲2-10的整數;R1和R2獨立地爲至少 一種支鏈或直鏈Ci-C8烷基基團,C3-C8取代或未取代的環 烷基基團,C2-C!。部分不飽和的烷基基團,匕-(:12取代或未 取代的芳基,或相應的線性、支鏈、環狀、部分不飽和的 烷基,或包含烷氧基的芳基,並且R2另外可以是氫化物。 22.如申請專利範圍第21項的方法,其中,有機矽氧烷 選自:1,3,5,7-四甲基環四矽氧烷、八甲基環四矽氧烷、六 甲基環三矽氧烷、六甲基二矽氧烷、1,1,2,2_四甲基二矽氧 烷和八甲基三矽氧烷。 23·如申請專利範圍第16項的方法,其中至少一種有機 矽氧烷和供氟氣體是環狀或線性的有機矽氧烷,其包含至 少一個Si_F鍵。 24. 如申請專利範圍第16項的方法,其中至少一種前驅 物是式R^SiW^R2表示的線性有機矽烷低聚物,式中η 爲2_10的整數;或由式表示的環狀有機矽烷低聚 物,式中η爲3-10的整數;R1和R2獨立地爲至少一種支 鏈或直鏈Ci-Cs烷基基團,C3-C8取代或未取代的環烷基基 團,匕-匕。部分不飽和的烷基基團,C6-C12取代或未取代的 芳基,或相應的線性、支鏈、環狀、部分不飽和的烷基, 或包含烷氧基的芳基,並且R2另外可以是氫化物。 25. 如申請專利範菌第24項的方法,其中,線性有機矽 烷低聚物選自1,2-二甲基二矽烷,1,1,2,2-四甲基二矽烷, 1,2-二甲基-1,1,2,2-二甲氧基矽烷,六甲基二矽烷,八甲基 31 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線· 經濟部智慧財產局員工消費合作社印製 574410 A8 B8 C8 D8 (2003年5月修正) 六、申請專利範圍 二砂烷,1,2,3,4,5,6-六苯基六砂院,1,2-二甲基_1,2-二苯基 二矽烷和1,2-二苯基二矽烷。 26. 如申請專利範圍第16項的方法,其中至少一種有機 砂院和供氟氣體是:帶至少一個Si-F鍵的線性或環狀有機 矽烷低聚物。 27. 如申請專利範圍第16項的方法,其中,至少一種前 驅物是環院基砂院,環院氧基砂焼,或者在一對砂原子之 間含至少一個烷氧基或烷基橋。 28. 如申請專利範圍第27項的方法,其中,至少一種前 驅物是1,2_二矽烷基乙烷,1,3-二矽烷基丙烷,二甲基矽雜 環丁烷,1,1-二甲基一 1_矽雜-2-氧雜環己烷,丨,2-二(三甲 基甲矽烷氧基)環丁烷,1,1-二甲基-1-矽雜_2,6_二氧雜環己 烷,1,2-二(三甲基矽氧烷)乙烷,1,4_二(二甲基甲矽烷基) 苯或1,3-二甲基矽雜環丁烷。 29·如申請專利範圍第16項的方法,其中,至少一種前 驅物包含反應性側基,所述側基選自:環氧化物,羧化物, 炔烴,二烯,苯基乙炔基,應變環基和C4_Cl()基團,所述 側基能夠在空間上對至少一種前驅物氣體進行位阻或使之 應變。 30. 如申請專利範圍第16項的方法,其中,供氟氣體選 自·· SiF4,NF3, F2,HF,SF6,C1F3,BF3,BrFs,SF4,NF2C1, FSiH3,FdiH2,FsSiH,有機氟矽烷及其混合物,前提條件 是,所述有機氟矽烷不包括任何F-C鍵。 31. 如申請專利範圍第16項的方法,其中,供氧氣體是 32 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音2事項再填寫本頁) _ j IAW--------訂----------線· 經濟部智慧財產局員工消費合作社印製 574410 A8 B8 pQ D8 (2003年5月修正) 六、申請專利範圍 〇2,N2〇,臭氧,過氧化氫,NO, N〇2, N2〇4,或其混合物。 32. 如申請專利範圍第16項的方法,另外還包括以 0.002-10微米的厚度將薄膜沈積至半導體基材上。 33. 如申請專利範圍第16項的方法,另外還包括將所述 薄膜用作:積體電路中的絕緣層、夾層介電層、金屬層間 介電層、保護層、化學-機械平面化或蝕刻停止層、阻障 層或粘結層。 34·如申請專利範圍第16項的方法,其中,當根據小角 度中子散射或正電子消滅生命光譜進行測量時,所述薄膜 的鬆密度低於1.5g/cc,孔徑小於2.5納米當量球形直徑, 其中絕大多數氫連接至碳上,並且所述薄膜以積體電路中 的絕緣層、夾層介電層、金屬層間介電層、保護層、化學 -機械平面化或蝕刻停止層、阻障層或粘結層的形式沈積 至基材上。 35. 如申請專利範圍第16項的方法,其中,氣相反應劑 包括至少一種分子’所述分子起供氟氣體、供氧氣體和至 少一種前驅物氣體之中至少兩種的作用。 36. 如申請專利範圍第16項的方法,其中,氣相反應劑 包括至少一種分子,所述分子起供氧氣體、供氧氣體和至 少一種前驅物氣體的作用。 37. 如申請專利範圍第1項的薄膜,所述薄膜能夠經受化 學-機械平面化作用、脫錦技術、銅镶嵌技術或各向異性触 刻。 38. 如申請專利範圍第1項的薄膜,所述薄膜能夠粘附至 33 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注咅?事項再填寫本頁) I ♦ -· I------訂---------線· 經濟部智慧財產局員工消費合作社印製 574410 Α8 Β8 C8 D8 (2003年5月修正) 六、申請專利範圍 矽,Si〇2,ShN4,OSG,FSG,碳化矽,防反射塗料,光刻 膠,有機聚合物,多孔有機和無機材料,金屬,以及金屬 阻障層上。 39.—種生產由Si,〇,C,Η及F原子組成之有機二氧化 矽玻璃薄膜的方法,包括:.使有機矽烷或有機矽氧烷進行 化學氣相沈積而生產有機二氧化矽玻璃薄膜,改進之處在 .於至少一部分有機矽烷或有機矽氧烷的所述沈積期間,使 無機氟源與無機氟進行共沈積,從而生產出95%總量之氟 不含氟碳鍵結的薄膜。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 34 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW91110449A 2001-05-23 2002-05-17 Low dielectric constant material and method of processing by CVD TW574410B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/863,150 US6716770B2 (en) 2001-05-23 2001-05-23 Low dielectric constant material and method of processing by CVD

Publications (1)

Publication Number Publication Date
TW574410B true TW574410B (en) 2004-02-01

Family

ID=25340388

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91110449A TW574410B (en) 2001-05-23 2002-05-17 Low dielectric constant material and method of processing by CVD

Country Status (7)

Country Link
US (1) US6716770B2 (zh)
EP (1) EP1260606A3 (zh)
JP (2) JP3881282B2 (zh)
KR (1) KR100489758B1 (zh)
CN (1) CN1255573C (zh)
SG (1) SG111942A1 (zh)
TW (1) TW574410B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US9960042B2 (en) 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
DE10131156A1 (de) 2001-06-29 2003-01-16 Fraunhofer Ges Forschung Arikel mit plasmapolymerer Beschichtung und Verfahren zu dessen Herstellung
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US6878641B2 (en) * 2002-10-01 2005-04-12 Advanced Technology Materials, Inc. Composition and chemical vapor deposition method for forming organic low k dielectric films
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
KR101021178B1 (ko) * 2003-12-24 2011-03-15 매그나칩 반도체 유한회사 반도체 소자의 절연막 및 그 형성 방법
DE102004008442A1 (de) * 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US20070077778A1 (en) * 2005-10-04 2007-04-05 The Boc Group, Inc. Method of forming low dielectric constant layer
CN100539071C (zh) * 2006-02-16 2009-09-09 中芯国际集成电路制造(上海)有限公司 用于形成低介电常数氟掺杂层的方法
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
DE102006028809B4 (de) * 2006-06-21 2015-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer-Träger-Anordnung, Schichtverbund zur Verwendung bei der Herstellung einer solchen Wafer-Träger-Anordnung sowie entsprechende Verfahren und Verwendungen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7410916B2 (en) 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007010071A1 (de) 2007-02-28 2008-09-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtverbund umfassend eine Lack- und eine Trennschicht sowie Lack-Träger-Anordnung zur Übertragung von Lack
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
JP4670905B2 (ja) * 2007-06-18 2011-04-13 セイコーエプソン株式会社 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
DE102007040655B4 (de) 2007-08-27 2011-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Funktionsschichtübertragungsanordnung, Verfahren zu deren Herstellung, Übertragungsverfahren für eine Funktionsschicht und Verwendung einer plasmapolymeren Schicht oder einer Funktionsschichtübertragungsanordnung zum Übertragen einer Funktionsschicht auf ein Substrat
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5505680B2 (ja) * 2008-09-01 2014-05-28 独立行政法人物質・材料研究機構 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
EP2373830B1 (en) * 2008-10-20 2014-04-30 Dow Corning Corporation Cvd precursors
JP2010106081A (ja) * 2008-10-28 2010-05-13 Seiko Epson Corp 接合方法、接合体および光学素子
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
WO2013048751A1 (en) * 2011-09-30 2013-04-04 Arkema Inc. Deposition of silicon oxide by atmospheric pressure chemical vapor deposition
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
KR102029286B1 (ko) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6268111B2 (ja) * 2015-02-06 2018-01-24 信越化学工業株式会社 フッ素含有ケイ素化合物、その製造方法、及びフッ素含有ケイ素樹脂の製造方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
WO2017162578A1 (en) * 2016-03-23 2017-09-28 Abb Schweiz Ag Use of a linear octafluorobutene as a dielectric compound in an environmentally safe dielectric-insulation or arc-extinction fluid
KR102656926B1 (ko) * 2016-07-14 2024-04-16 신에쓰 가가꾸 고교 가부시끼가이샤 서스펜션 플라스마 용사용 슬러리, 희토류산 불화물 용사막의 형성 방법 및 용사 부재
DE102016214493A1 (de) 2016-08-04 2018-02-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Passives elektrisches Bauteil mit Beschichtung zur Verbesserung der Belastbarkeit
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10361137B2 (en) 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102018102416A1 (de) 2017-10-23 2019-04-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verwendung einer kohlenstoffhaltigen Beschichtung zum Schutz eines passiven elektrischen Bauteils vor Angriff durch Ammoniak und Anlage, umfassend ein passives elektrisches Bauteil, das gegen Angriff von Ammoniak geschützt ist
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
JP6983332B2 (ja) * 2019-02-25 2021-12-17 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110634837A (zh) * 2019-09-27 2019-12-31 哈尔滨理工大学 一种用于铜互联电路中的扩散阻挡层
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US11967498B2 (en) * 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244698A (en) * 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH08167601A (ja) 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
TW302525B (zh) * 1995-02-28 1997-04-11 Hitachi Ltd
JPH08321499A (ja) 1995-03-20 1996-12-03 Fujitsu Ltd 硅素化合物膜およびその形成方法
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
JP3485425B2 (ja) 1996-11-18 2004-01-13 富士通株式会社 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
JPH11111712A (ja) 1997-10-01 1999-04-23 Fujitsu Ltd 低誘電率絶縁膜とその形成方法及びこの膜を用いた半導体装置
JPH11111714A (ja) 1997-10-03 1999-04-23 Japan Science & Technology Corp シリコン系絶縁膜の製造方法
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US9960042B2 (en) 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US10354877B2 (en) 2012-02-14 2019-07-16 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement

Also Published As

Publication number Publication date
KR20020090144A (ko) 2002-11-30
EP1260606A2 (en) 2002-11-27
JP2003007699A (ja) 2003-01-10
SG111942A1 (en) 2005-06-29
US6716770B2 (en) 2004-04-06
JP3881282B2 (ja) 2007-02-14
CN1255573C (zh) 2006-05-10
EP1260606A3 (en) 2004-04-21
KR100489758B1 (ko) 2005-05-16
US20030049460A1 (en) 2003-03-13
CN1389591A (zh) 2003-01-08
JP2004312041A (ja) 2004-11-04

Similar Documents

Publication Publication Date Title
TW574410B (en) Low dielectric constant material and method of processing by CVD
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
JP4897505B2 (ja) 低誘電率の多孔質有機シリカガラス膜を得るための化学蒸着方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
US6583048B2 (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP2004312041A5 (zh)
US7074489B2 (en) Low dielectric constant material and method of processing by CVD
JP5711176B2 (ja) 組成物
JP2011014925A5 (zh)
TWI676632B (zh) 烷氧基矽環狀或醯氧基矽環狀化合物及使用其沉積薄膜的方法
TWI772883B (zh) 單烷氧基矽烷及使用其製造的密有機二氧化矽膜
CN101671816A (zh) 含Si膜的沉积方法,绝缘体膜,和半导体器件
TW202111153A (zh) 單烷氧基矽烷及二烷氧基矽烷和使用其製造的密有機二氧化矽膜
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
CN118251514A (zh) 烷氧基硅烷及由其制备的致密有机硅膜
TW202009321A (zh) 矽化合物及使用其沉積膜的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees