JP2023542352A - 誘電体膜の特性を向上させる添加剤 - Google Patents

誘電体膜の特性を向上させる添加剤 Download PDF

Info

Publication number
JP2023542352A
JP2023542352A JP2023518222A JP2023518222A JP2023542352A JP 2023542352 A JP2023542352 A JP 2023542352A JP 2023518222 A JP2023518222 A JP 2023518222A JP 2023518222 A JP2023518222 A JP 2023518222A JP 2023542352 A JP2023542352 A JP 2023542352A
Authority
JP
Japan
Prior art keywords
branched
group
linear
formula
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023518222A
Other languages
English (en)
Inventor
ロバート エントリー ウィリアム
リン アン アチティル ジェニファー
ニコラス バーティス レイモンド
ゴードン リッジウェイ ロバート
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2023542352A publication Critical patent/JP2023542352A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/18Polysiloxanes containing silicon bound to oxygen-containing groups to alkoxy or aryloxy groups
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

緻密な有機シリカ誘電体膜(k≧2.7)の弾性率を、膜の電気特性に悪影響を与えず、かつ膜の炭素含有量の減少が最小限か全く減少させずに、改善する方法。前記方法は、反応チャンバー内に基材を提供する工程と、アルキル-アルコキシシラ環状化合物と5%以下の特定のビス(アルコキシ)シランまたはモノアルコキシシランの混合物を含むガス状組成物を前記反応チャンバー内に導入する工程と、前記アルキル-アルコキシシラ環状化合物と5%以下の特定のビス(アルコキシ)シランまたはモノアルコキシシランとの混合物を含むガス状組成物にエネルギーを印加して、前記基板上に有機ケイ素膜を堆積させる工程と、を含み、有機ケイ素膜は、誘電率が約2.70から約3.30、弾性率が約6から約30GPa、およびXPSで測定する原子%炭素が約10から約45である、方法。

Description

[関連出願の相互参照]
本特許出願は、2020年9月22日に出願された米国仮特許出願番号63/081,903に対する優先権を主張する、2021年9月16日に出願された国際特許出願番号PCT/US2021/050736の35U.S.C.371条に基づいて国内段階に移行された出願である。これらの出願の内容は、その全体が参照により本明細書に組み込まれる。
本明細書では、膜の電気的特性に悪影響を与えず、膜の炭素含有量の減少が最小限か全くなく、緻密な有機シリカ誘電体膜(k≧2.7)の機械的特性を改善する方法について説明する。これは、少量の添加剤(≦5%)を含む前駆体を用いて低k膜を堆積させることにより達成される。膜の堆積後処理は必要ない。予想外にも、この堆積プロセスにより得られた膜は、膜の電気的特性に悪影響を与えることなく弾性率の増加を示し、添加剤の不存在下で同じ前駆体から堆積した膜と比較して、膜の炭素含有量の減少が最小限か全くないことがわかった。
エレクトロニクス産業では、集積回路(IC)および関連する電子機器の回路と部品の間の絶縁層として誘電体材料を利用している。マイクロエレクトロニックデバイス(例えば、コンピュータチップ)の高速化とメモリ記憶能力の向上のために、回線の寸法が小さくなっている。回線の寸法が小さくなるにつれて、層間絶縁膜(ILD)の絶縁性への要求は非常に厳しくなる。RC時定数(Rは導電線の抵抗、Cは絶縁誘電体中間膜の静電容量)を最小にするべく間隔を狭めるためには、誘電率を低くする必要がある。静電容量(C)は、間隔に反比例し、層間絶縁膜(ILD)の誘電率(k)に比例する。SiHまたはTEOS(Si(OCHCH、テトラエチルオルトシリケート)とOから製造される従来のシリカ(SiO)CVD誘電体膜は、約4.0以上の比誘電率を有する。当業界では、誘電率の低いシリカ系CVD膜の製造を試みる方法がいくつかあるが、最も成功したものは、絶縁性の酸化ケイ素膜に有機基をドープし、誘電率を約2.7~約3.5とする方法である。この有機シリカガラス(または低k膜)は、典型的には、メチルシランまたはシロキサンなどの有機ケイ素前駆体と、OまたはNOなどの酸化剤から、緻密な(非多孔質の)膜として堆積される。本明細書では、有機シリカガラスをOSGと呼ぶ。
当業界では、誘電率2.7未満の緻密な膜に適した低k組成物のほとんどを検討しつくし、その結果、誘電率2.7未満を必要とする用途では、さまざまな多孔質材料に目が向けられてきた。残念ながら、酸化ケイ素ネットワークへの有機基の統合および/または多孔性の導入によって誘電率を低下させることは、膜の機械的特性も著しく低下させる。例えば、米国特許第8,137,764号および米国特許第9,922,818号に教示されているように、低k酸化ケイ素系ネットワークにおける有機基の割合が増加すると、緻密な低k膜の機械的特性は、誘電率よりもはるかに速く低下することが示されている。同様に、多孔質低k膜の機械的特性は、低k酸化ケイ素系ネットワークにおける多孔質の割合が増加すると、誘電率よりもはるかに速く減少する(O‘Neill,M.L.等、MRS Proceedings Symposium 2006年、914、F01-02、およびO’Neill,M.L.等、Semiconductor International 2002年、25、93-100頁参照)。しかし、BEOL(Back End of Line)の最下層に位置する最先端技術ノードでは、可能な限り高い機械的特性を持つ低k材料が必要とされている。先端技術ノードでは、デバイスの寸法が縮小し、ピッチが小さくなっているため、BEOLの最下層で使用される低k材料は、プラズマやプロセス誘起損傷(PID)に対する最大限の耐性、可能な限り低いリーク電流、および可能な限り高い初期破壊電界も必要になる。緻密な低k膜の機械的特性やPID耐性が、多孔質な低k膜よりも一般的に優れているため、緻密な低k膜は、BEOLの最下層に使用されることが一般的である。チップパッケージングやCMPの利点に加え、低k膜の機械的特性の向上により、パターン形成された構造の直線エッジの粗さを低減し、パターン崩壊を低減し、およびインターコネクト内の内部機械的ストレスを大きくして、エレクトロマイグレーションによる故障を低減する(Darnon,M.等、「Undulation of sub-100nm Porous Dielectric Structures:A Mechanical Analysis」J.Appl.Phys.2007年,91,194103-1-194103-3、Vyas,A.A.等、「On-Chip Interconnect Conductor Materials for End-of-Roadmap Technology Nodes」IEEE Trans. Nanotechnology 2018年,17,4-10頁、Ban,Y.等「Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell」spie09_LER、およびGrill,A.等「Progress in the Development and Understanding of Advanced Low k and Ultralow k Dielectrics for Very Large-Scale Integrated Interconnects-State of the Art」Appl.Phys.Rev.2014年,1,011306参照)。機械的特性を高める必要性は、先端技術ノードでピッチが小さくなるにつれて、特に後工程の最下層でより重要になる。この必要性により、比較的高い誘電率(3.0≦k≦3.5)と可能な限り高い機械的特性を有する新しい高密度低k膜の探索が行われてきた。
低k膜のプラズマまたはプロセスによる損傷は、特にエッチングやフォトレジストのストリッププロセス(NH系ストリッププロセス等)において、プラズマ照射中に炭素が除去されることによって引き起こされる(Ryan,E.T.等「Property Modifications of Nanoporous pSiCOH Dielectrics to Enhance Resistance to Plasma-Induced Damage」J.Appl.Phys.2008年,104,094109、Lionti,K.等「Toward Successful Integration of Porous Low-k Materials:Strategies Addressing Plasma Damage」、ECS J.Solid State Sci. And Tech.2015年,4,N3071-N3083、およびYonekura,K.等「Low-Damage Damascene Patterning Using Porous Inorganic Low-Dielectric-Constant Materials」Jpn.J.Appl.Phys.2005年,44,2976頁参照)。炭素の枯渇により、プラズマ損傷領域は疎水性から親水性へと変化する。親水性のプラズマ損傷領域を希薄なHF系(0.1~0.5重量%)の湿式化学ポストプラズマ処理にさらすと、損傷領域が急速に溶解し、層のkが増加する(疎水性損傷層が吸湿性を高める)。低k膜のパターン化(エッチングやフォトレジストストリッププロセスで作成)において、プラズマ損傷したパターンの側壁を希薄なHF系ポストプラズマ処理にさらすと、プラズマやプロセスによる損傷がプロファイルの侵食を引き起こす。プロファイルの侵食は、凹状フィーチャーの形成(金属化欠陥の発生)および金属配線間のスペーシングの減少(キャパシタンスの増加)をもたらす可能性がある(Grill,A.「PECVD Low and Ultralow Dielectric Constant Materials:From Invention and Research to Products.」J.Vac.Sci.Technol.B 2016年,34,020801参照)。これは、プロファイル侵食の深さがロジック1/2ピッチのかなりの割合になる可能性がある先端ロジックデバイスで特に問題となる。一般的に、低k膜の炭素含有量が多いほど、PIDの深さは浅くなる。低k膜のプロセス誘起損傷とそれに伴うプロファイルの低下は、ULSI配線、特に後工程の最下層に低k材料を組み込む際に、デバイスメーカーが克服しなければならない重要な問題である。
低k膜の機械的特性を向上させる方法として、複数の方法が報告されている。これらには、熱処理(米国特許第6,846,515号)、広帯域紫外線(UV)硬化(米国特許第8,951,342号)、優れた機械的特性を有する低k膜の堆積を本質的にもたらす低k前駆体の使用(米国特許第6,846,515号)、硬化添加物の使用(米国特許第8,137,764号)などがあるが、それだけに限らない。
熱処理と広帯域UV硬化の両方が、堆積したままの低k膜の機械的特性を改善することができる。しかし、熱処理とUV硬化のいずれも膜の炭素含有量を減少させ、その結果、PIDが大きくなり、好ましくない。また、熱処理とUV硬化のいずれも、堆積したままの膜に後処理が必要であり、処理時間(スループットの低下)、コスト(UV硬化チャンバーなどのハードウェアの追加)、および複雑さを増加させる。特に、先進的なICメーカーが、後処理をしない状態で、PIDに対する最大の耐性と可能な限り高い機械的特性を併せ持つ低k膜を求めているBEOLの最下層では、これは望ましいことではない。
低k膜の機械的特性を改善する最も簡単な方法の一つは、本質的に優れた機械的特性を持つ低k膜を堆積できる前駆体を使用することである。例えば、米国特許第6,846,515号では、DEMSの商標で販売されている前駆体ジエトキシメチルシラン(以下DEMS(登録商標))が、代わりの前駆体トリメチルシラン(TMS)およびジメチルジメトキシシラン(DMDMOS)と比較して、ヤング率およびナノインデンテーション硬度で示されるような機械的特性が予想外に優れる、kが3.5以下の低k膜を堆積することが示されている。これは、誘電率が同じ値であれば、DEMS(登録商標)系膜は、他の膜に比べて酸素含有量が高く、炭素含有量が低いことに起因している。酸素含有量の増加により、3次元的なネットワークの接続性が向上し、機械的特性が改善されたと考えられる。
また、単一の低k前駆体を使用することで、追加の堆積後工程なしに(すなわち、堆積したままの膜として)優れた機械的特性を持つ低k膜の堆積を本質的にもたらし、スループットが最大化され(堆積後工程が不要)、追加のハードウェア(すなわち、UV硬化層)が必要ないため、所有コストが最も低いシンプルなプロセス方式となる。しかし、最高の機械的特性をもたらす前駆体から堆積された低k膜は、必然的に総炭素含有量が低く、したがってPIDに対する耐性も限定的である。UV硬化は、これらの膜の機械的特性をさらに向上させることができるが、これは膜の炭素含有量をさらに減少させ、したがってこれらの膜のPIDに対する耐性をさらに低下させる。その結果、機械的特性に優れた低k膜の堆積を本質的にもたらす最も有望な低k前駆体であっても、可能な限り高い機械的特性と最大のPID耐性の両方が要求されるBEOLの最下層における最先端技術ノードの要件を満たさないことがある。
緻密な低k膜については、低k前駆体中のケイ素-アルコキシ基(例えば、Si-OCH、Si-OCHCHなど)の数が増え、前駆体中のケイ素-炭素結合(例えば、Si-CH、Si-CHCHなど)の数が減ると、堆積したままの膜の誘電率と機械的特性が上がり、膜中の炭素含有量は減少すると認識されている。したがって、単一のケイ素-アルコキシ基とケイ素あたり最大3つのケイ素-炭素結合を含む前駆体(例えば、ジエチル-イソプロポキシ-メチルシランおよびトリメチルシラン)から堆積した膜よりも2つのケイ素-アルコキシ基と1つまたは2つのケイ素-炭素結合を含む前駆体(例えば、DEMS(登録商標)およびDMDMOS)から堆積した膜は、高い誘電率、高い機械的特性、および少ない炭素を有し、さらにそれよりも、ケイ素あたり3つのケイ素-アルコキシ基を含み、ケイ素あたり1つまでのケイ素-炭素結合(例えば、トリエトキシシランまたはTESおよびメチル-トリエトキシシランまたはMTES)から堆積した膜は、高い誘電率、高い機械的特性、および少ない炭素を有し、さらにそれよりも、前駆体中に4つのケイ素-アルコキシ基を含み、ケイ素原子あたりのケイ素-炭素結合を含まない前駆体(例えば、TEOS)から堆積した膜は、高い誘電率と、優れた機械的特性、および少ない炭素を有する。
このコンセプトは、米国特許第8,137,764号において用いられ、堆積プロセスで2種類の前駆体の混合物を用いて堆積することにより、緻密な低k膜の機械的特性を向上させた。膜の機械的特性を高めるために選択された一方の前駆体(硬化添加剤)は、TEOSまたはトリエトキシシラン(TES)のように、ケイ素原子あたり3、4個のケイ素酸素結合を含み、ケイ素-炭素結合を有さない。第2の前駆体である低k前駆体は、DEMS(登録商標)やDMDMOSなどのケイ素-炭素結合を1つ以上含むものであった。硬化添加剤(ケイ素-アルコキシ基の数が多い化合物)の割合を増やすと、膜の機械的特性は向上した。米国特許第8,137,764号の代表的な実施例は、TES(50%)とDEMS(登録商標)(50%)の混合物から低k膜を堆積するものである。TESとDEMS(登録商標)の混合物から堆積されたk=3.17の膜の硬度(1.76GPa)は、DEMS(登録商標)のみから堆積された同程度の誘電率(k=3.16)の膜(1.58GPa)より高いことがわかった。TESとDEMS(登録商標)を混合した低k膜の硬度が10%上昇したのは、DEMS(登録商標)のみを用いた膜と比較して、酸素含有量が高く、炭素含有量が低いためであると推定される。この酸素含有量の増加、炭素含有量の減少により、3次元的なネットワークの接続性が向上し、機械的特性が改善されたと考えられる。
米国特許第8,137,764号に記載されているように、堆積プロセス中に2種類の前駆体の混合物を用いて堆積させることにより、緻密な低k膜の機械的特性を向上させるというコンセプトがあるが、いくつかの制限がある。第1は、硬化添加剤がケイ素-炭素結合を含まず、したがって、ケイ素-炭素結合のネットワーク構造への組み込みが希釈的であることである。米国特許第8,137,764号の発明では、膜の機械的特性の測定可能な増加を達成するために硬化添加剤の高い割合が必要であり(例示的な混合物は通常25%から50%の硬化添加剤)、得られる膜の炭素含有量に大きな影響を与えることがある。したがって、ケイ素炭素結合を含む低k前駆体とケイ素炭素結合を含まない硬化添加剤の組み合わせを使用して堆積された低k膜は、機械的特性を改善することができ、この戦略では、誘電率を上げ、膜の炭素含有量を減らすことができるが、膜の炭素含有量が減ると、プラズマやプロセス誘導損傷(PID)が大きくなる。
この発明の別の制限として、ケイ素-炭素結合を含む前駆体について最高の機械的強度をもたらす堆積条件は、典型的には、ケイ素-炭素結合を含まない硬化添加剤について最高の機械的強度をもたらす堆積条件と異なるということがある。例えば、ケイ素-炭素を含む前駆体の機械的強度が最も高い場合は、堆積プロセス中のO流量を低くする必要がある場合があり、ケイ素-炭素結合を含まない硬化添加剤の機械的強度が最も高い場合は、堆積プロセス中のO流量を高くする必要がある場合がある。ケイ素-炭素結合を含む前駆体とケイ素-炭素結合を含まない硬化剤との混合物について、膜のリーク電流や初期破壊電界などの膜本来の特性に影響を与えずに、所望の誘電率の値で機械的特性が向上する最適な堆積条件を見つけることは困難である。例えば、最近の研究では、ケイ素炭素結合を含む低k前駆体(例えば、DEMS(登録商標))とケイ素炭素結合を含まない硬化添加剤(例えば、TEOS)の組み合わせで堆積した膜は、ケイ素炭素結合を含む低k前駆体(例えば、DEMS(登録商標))から堆積した膜に比べて、高いリーク電流と低い初期破壊電界を有することが示された。
米国特許出願公開第2019/0244810号は、DEMS(登録商標)と、イソブチルトリエトキシシラン(iBTEOS)などの第2のケイ素含有前駆体の混合物を用いて膜を堆積させることにより、DEMS(登録商標)などの有機シランから堆積する低k膜の弾性率を高めることが可能であることを開示している。この場合、iBTEOSは硬化添加剤として機能し、DEMS(登録商標)前駆体のみで堆積した同じ誘電率の膜よりも大きな弾性率を持つ膜になる。米国特許出願公開第2019/0244810号に記載されている硬化添加剤は、ケイ素-炭素結合を含み、米国特許第8,137,764号に記載の硬化添加剤とは異なる。具体的には、米国特許出願公開第2019/0244810号における硬化添加剤は、式
Si(OR4-n
で記載され、ここで、Rは直鎖状、分岐状、または環状のC-Cアルキル基、n=1~3、およびRは直鎖状、分岐状、または環状のC-Cアルキル基である。
米国特許出願公開第2019/0244810号の制限は、堆積混合物が、膜の機械的特性の測定可能な増加を達成するために、高い割合の硬化添加剤を必要とすることである。例えば、米国特許出願公開第2019/0244810号に記載されているDEMS(登録商標)とiBTEOSの2元堆積混合物は、66%から80%のiBTEOSが含まれ、誘電率が同じ値において、膜の機械的特性を最大19%増加させた。必要なトリエトキシシラン誘導体(iBTEOS)の割合が高いため、膜の炭素含有量が希薄的になり、全炭素含有量の少ない低k膜(XPSで10.1原子%未満)となり、プラズマによるダメージに対する耐性が低いことが推定される。
米国特許出願公開第2019/0244810号の別の制限は、DEMS(登録商標)系膜について最高の機械的特性をもたらす堆積条件は、典型的には、硬化添加剤(iBTEOS)について最高の機械的特性をもたらす堆積条件とは異なるということである。例えば、DEMS系の堆積で最高の機械的特性を得るには、堆積プロセス中のO流量を低くする必要がある場合があり、iBTEOSで最高の機械的特性を得るには、堆積プロセス中のO流量を高くする必要がある場合がある。リーク電流や初期破壊電界などの膜本来の特性に影響を与えることなく、望ましい値の誘電率で機械的特性を向上させる前駆体の混合物の最適な堆積条件を見つけることは困難である。
米国特許出願公開第2019/0244810号の別の制限は、DEMS(登録商標)およびiBTEOSの例示的な二元堆積混合物について開示された非常に高い弾性率が、堆積したままの膜のUV硬化後堆積処理の後に達成されたことである。これは、UV硬化が炭素含有量を減少させ、膜の多孔性を増加させ、より大きなプロセスに起因する損傷をもたらすため、望ましくないことである。さらに、UV硬化などの堆積後工程は、スループットが低下し(堆積後工程が必要)、追加のハードウェア(すなわち、UV硬化層)が必要になるため、所有コストを増加させる。
したがって、特にラインの終端の最下層では、可能な限り高い機械的特性、PIDに対する強い耐性を提供する高い炭素含有量、低いリーク電流、および所定の値の誘電率における高い初期破壊電圧を有する低k膜(k<3.5)が必要とされている。堆積されたままの膜は、その機械的特性を改善するための堆積後工程を必要とすべきでない。すなわち、UV硬化のような堆積後工程は必要ではない。
本明細書に記載の方法および組成物は、上述の1つ以上の要求を満たすものである。
米国特許第9,922,818号で教示されているように、アルキル-アルコキシシラ環状化合物は、DEMS(登録商標)などの先行技術の構造形成前駆体と比較して、高い機械的強度と高い総炭素含有量の両方を有する低k膜を堆積するために使用することができる。しかし、BEOLの最下層の低k膜の要件を満たすために、膜の炭素含有量を減少させたり、PIDに対する膜の耐性を低下させたり、膜のリーク電流や初期破壊電界などの固有膜特性に影響を与えることなく、アルキル-アルコキシシラ環状系膜の機械的特性をさらに高めることが望ましい。また、これを、UV硬化などの堆積後処理なしで行うことが望ましい。
アルキル-アルコキシシリル前駆体と少量(≦5%)の特定のビスアルコキシシランまたはモノアルコキシシランの組み合わせで堆積した低k膜は、他の膜特性に最小限の影響を与えるか全く与えずに膜の弾性率を高めることが発見された。すなわち、アルキル-アルコキシシラン系前駆体と少量(≦5%)の特定のビスアルコキシシランまたはモノアルコキシシランの組み合わせを使用して堆積した低k膜は、ビスアルコキシシランの不存在下で堆積した同等の膜と比較して、1)膜の弾性率が増加し、2)膜の誘電率の変化が最小限か全くなく、3)膜のXPS炭素含有量の変化が最小限か全くなく、4)PIDに対する膜の耐性の変化が最小限か全くないことが予想され、5)膜のリーク電流または初期破壊電界の変化が最小限か全くないことが予想される。この弾性率の増加は、堆積したままの膜、すなわち、UV硬化などの堆積後処理なしで起こる。
アルキル-アルコキシシラ環状前駆体と少量(≦5%)の特定のビスアルコキシシランまたはモノアルコキシシランの組み合わせは、約2.70から約3.50のk値を持つ緻密な低k膜を堆積するために使用することができ、このような膜は、ビスアルコキシシランの不存在下で堆積した等価の膜と比較して、弾性率が増加し、膜のXPS炭素含有量の変化が最小限か全くなく、PIDに対する膜の耐性の変化が最小限か全くないことが予想され、膜のリーク電流または初期破壊電場の変化が最小限か全くないことが予想される。弾性率の増加は、UV硬化などの堆積後処理工程を必要とせずに起こる。
一態様において、本開示は、弾性率の増加を伴う緻密な有機シリカ膜を製造する方法を提供し、前記方法は、反応チャンバー内に基板を提供する工程と、式(1)で与えられる構造を有するアルキル-アルコキシシラ環状化合物、
(ここで、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基およびC~C10ヘテロアリール基から独立して選ばれ、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基およびC~C10ヘテロアリール基から選ばれ、Rは、Si原子と4員、5員または6員の環状環を形成するC~C10アルキルジラジカルとから選ばれる)、および、5%以下の式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物、
(2) RSi(OR
(3) HRSi(OR
(ここで、Rは、水素、直鎖状又は分岐状のC~C10アルキル基、直鎖状又は分岐状のC~C10アルケニル基、直鎖状又は分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から選択され、RおよびRは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基およびC~C10へテロアリール基から独立して選ばれる)、を含むガス状混合物を前記反応チャンバーに導入する工程と、式(1)のアルキル-アルコキシシラ環状化合物および式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状混合物を前記反応室に導入する工程と、さらに、前記反応チャンバー内で、式(1)のアルキル-アルコキシシラ環状化合物と、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状混合物にエネルギーを印加し、式(1)のアルキル-アルコキシシラ環状化合物と、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状混合物の反応を誘導して、前記基板上に有機シリカ膜を堆積させる工程と、を含み、前記有機シリカ膜は、約2.70から約3.3の誘電率、約6から約28GPaの弾性率、およびXPSによって測定される約10から約45の原子(at.)%の炭素を有する。
式(2)および式(3)では、R、RおよびR基の組み合わせは、分子の沸点が200℃未満、好ましくは150℃未満になるように選択する。さらに、最適な性能を得るために、R基の一部は、ホモリティックボンド解離時に二次または三次ラジカルを形成するように選択することができる(例えば、SiO-R→SiO・+R・、ここでR・はイソプロピルラジカル、sec-ブチルラジカル、tert-ブチルラジカルなどの第二または三級ラジカルである)。
図1は、1-メチル-1-エトキシ-1-シラシクロペンタン(MPSCP)から堆積した比較膜の赤外線スペクトルと、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランとの混合物から堆積した膜のスペクトルを示す。
図2は、DEMS(登録商標)から堆積した比較膜、DEMS(登録商標)とTEOSの混合物から堆積した比較膜、及びMPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランを混合した膜、という3種類の低k膜の印加電界に対するリーク電流密度を示す。
本明細書では、機械的特性が改善された緻密な有機シリカ膜を製造するための化学気相堆積法であって、前記方法は、反応チャンバー内に基板を提供する工程と、式(1)で与えられる構造を有するアルキル-アルコキシシラ環状化合物と、5%以下の式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状組成物と、OやNOなどのガス状酸化剤と、Heなどの不活性ガスを反応チャンバーに導入する工程と、反応チャンバー内で、式(1)のアルキル-アルコキシシラ環状化合物と、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状混合物にエネルギーを印加し、式(1)のアルキル-アルコキシシラ環状化合物と、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物を含むガス状混合物の反応を誘導して、基板上に有機シリカ膜を堆積させる工程と、を含み、有機シリカ膜は、誘電率が約2.70から約3.30、弾性率が約6から約30GPa、XPSで測定する原子%炭素が約10から約45、好ましくは誘電率が約2.80から約3.20、弾性率が約7から約27GPa、原子%炭素が約10から約40である。所望の膜特性を有するOSG膜は、酸化剤を含まないガス状組成物を使用しても堆積させることができることが認識されている。
ガス状混合物は、1-メチル-1-エトキシ-1-シラシクロペンタン(MPSCP)などの式(1)のアルキル-アルコキシシラ環状化合物と、5%以下のビス(イソプロポキシ)-メチル-ブチルシランなどの式(2)で与えられる構造を有するビスアルコキシシラン化合物、またはジメチルイソプロポキシシラン等の式(3)で示される構造を有するモノアルコキシシラン化合物を含み、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物の不在下でアルキル-アルコキシシラ環状化合物から堆積した膜と比較して、高い弾性率を有する緻密な有機シリカ膜について、比較的低い誘電率を実現することが可能な独自の属性を提供する。式(1)のアルキル-アルコキシシラ環状化合物に、式(2)で示される構造を有するビスアルコキシシラン化合物または式(3)で示される構造を有するモノアルコキシシラン化合物を5%以下添加すると、式(2)で示される構造を有するビスアルコキシシラン化合物または式(3)で示される構造を有するモノアルコキシシラン化合物の不存在下で堆積された同等の膜と比較して、堆積したままの膜の弾性率が増加するが、膜の誘電率は最小限の変化を示すか全く変化を示さず、膜のXPS炭素含有量は最小限の変化を示すか全く変化を示さず、PIDに対する膜の耐性は最小限の変化を示すか全く変化を示さことが予想され、膜のリーク電流または初期破壊電界は最小限の変化を示すか全く変化を示さないことが予想される。この弾性率の増加は、堆積したままの膜、すなわち、UV硬化などの堆積後処理なしで起こる。
式(2)で示される構造を有するビスアルコキシシラン化合物または式(3)で示される構造を有するモノアルコキシシラン化合物を式(1)のアルキル-アルコキシシラ環状系堆積剤に5%以下添加すると、弾性率は増加するが、誘電率の上昇がなく、XPS炭素含有率の低下もなく、予想されるPIDに対する耐性の低下もなく、予想されるリーク電流の上昇および予想される初期破壊電界の低下もないことは、意外である。説明すると、米国特許第8,137,764号の例では、DEMS(登録商標)のみを使用した堆積と比較して、膜の測定可能な機械的特性の向上を達成するために、25%から75%という高い割合の硬化添加剤が必要であることを示している。さらに、硬化性添加剤の割合を増やすと、得られる膜の機械的特性が向上する。米国特許第8,137,764号における硬化添加剤としては、ケイ素原子あたり3、4個のケイ素酸素結合を含み、ケイ素-炭素結合(TEOSやトリエトキシシラン(TES)など)を含まないため、低k膜の炭素含有量を希釈し、XPS炭素含有量が低くなり、PIDに対する耐性も低くなる。このように、硬化添加剤の割合を増やすと、膜の機械的特性が向上し、膜の炭素含有量が減少し、そして膜の酸素含有量が増加する。同様に、米国特許出願公開第2019/0244810号は、DEMS(登録商標)系の堆積に対して膜の機械的特性の測定可能な増加を達成するために、高い割合の硬化添加剤(イソブチルトリエトキシシラン、iBTEOS)が必要であり、66%から80%の間であることを示している。米国特許出願公開第2019/0244810号のトリエトキシシラン系硬化添加剤iBTEOSは、ケイ素あたり1つのケイ素-炭素結合および3つのケイ素-アルコキシ基を有し、したがって、ケイ素あたり2つのケイ素-アルコキシ基のみを有するDEMS(登録商標)前駆体からの寄与に対して、膜の炭素含有量を希釈する。このことは、米国特許出願公開第2019/0244810号中のすべての例示的な膜の低いXPS炭素含有量(<11%)に反映されている。硬化剤の不存在下で堆積され、誘電率が同じのDEMS(登録商標)系膜に比べ、米国特許第8,137,764号および米国特許出願公開第2019/0244810号の例の膜の機械的特性が向上したのは、酸素含有量が高く、炭素含有量が低いためであることがわかる。酸素含有量の増加により、三次元的なネットワークの接続性が向上し、機械的特性が改善されたと考えられる。しかし、米国特許第8,137,764号および米国特許出願公開第2019/0244810号の例の膜では、炭素の含有量が少ないため、PIDに対する耐性が低下することが予想される。したがって、5%以下の式(2)で示される構造を有するビスアルコキシシラン化合物または式(3)で示される構造を有するモノアルコキシシラン化合物をモノアルコキシアルキル-アルコキシシラ環状系堆積剤に添加すると、膜の弾性率は増加するが、誘電率の増加は最小限か全くなく、XPS炭素含有量の増加は最小限か全くなく、PID耐性の減少は最小限か全くなく、リーク電流の増加は最小限か全くなく、そして初期破壊電界の減少は最小限か全くない、ことは予想外である。
米国特許第8,137,764号に従って堆積された高密度低k膜は、硬化添加剤の不存在下で堆積した膜に比べ、高いリーク電流と低い初期破壊電界を示す。すなわち、ケイ素炭素結合を含まない硬化添加剤(例えば、TEOS)と1つ以上のケイ素炭素結合を含む低k前駆体(例えば、DEMS(登録商標))の混合物を使用して堆積された膜は、硬化添加剤を使用せずに堆積されたDEMS(登録商標)系の膜に比べて、高いリーク電流と低い初期破壊電界を有する。特にBEOLの最下層では、デバイスの微細化が進み、リーク電流を減らし、初期破壊電界を増やすようICメーカーが絶えず求めているため、これは、明らかに望ましくない。一方、本発明に従って、MPSCPなどの式(1)のアルキル-アルコキシシラ環状化合物と、5%以下のビス(イソプロポキシ)-メチル-ブチルシランなどの式(2)で示される構造を有するビスアルコキシシラン化合物、またはジメチル-イソプロポキシシランのような式(3)で与えられる構造を有するモノアルコキシシラン化合物と、を含む気体混合物から堆積した緻密な低k膜は、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物の不在下で式(1)のアルキル-アルコキシシラ環状化合物を堆積した膜と比べて、リーク電流および初期破壊電界の変化が最小限か全くないことが予想される。
先行技術のアルキル-アルコキシシラ環状系膜に対しての、本発明で達成された利点としては、式(2)で与えられる構造を有するビスアルコキシシラン化合物または式(3)で与えられる構造を有するモノアルコキシシラン化合物の非存在下で堆積された同等の膜と比較して、膜の誘電率の増加は最小限か全くなく、膜のXPS炭素含有量の増加は最小限か全くなく、膜のPID耐性の減少は最小限か全くないことが予想され、リーク電流の増加は最小限か全くないことが予想され、初期破壊電界の減少は最小限か全くないことないことが予想される、膜の弾性率の増加が挙げられるが、これらに限定されない。
式(1)のアルキル-アルコキシシラ環状化合物、式(2)のビスアルコキシシラン化合物および式(3)のモノアルコキシシラン化合物は、好ましくはハロゲン化物イオンを実質的に含まない。本明細書で使用する場合、例えば塩化物(すなわち、HClまたは少なくとも1つのSi-Cl結合を有するケイ素化合物などの塩化物含有種)およびフッ化物、臭化物、およびヨウ化物などのハロゲン化物イオン(またはハライド)に関連する用語「実質的に含まない」は、イオンクロマトグラフ(IC)で測定した5ppm(重量)未満、好ましくはICで測定した3ppm未満、およびより好ましくはICで測定した1ppm未満、そして最も好ましくはICで、0ppmのことをいう。最終製品に含まれる相当量の塩化物は、ケイ素前駆体化合物の劣化を引き起こす可能性がある。ケイ素前駆体化合物が徐々に劣化すると、堆積工程に直接影響を与え、半導体メーカーが堆積仕様を満たすことが困難になる可能性がある。また、ケイ素前駆体化合物の分解速度が速いため、貯蔵寿命や安定性に悪影響を及ぼし、1~2年の貯蔵寿命を保証することが困難である。したがって、ケイ素前駆体化合物の分解の促進は、これらの可燃性および/または発火性のガス状副生成物の形成に関連する安全性および性能の懸念をもたらす。式(1)のアルキル-アルコキシシラ環状化合物、式(2)のビスアルコキシシラン化合物、及び式(3)のモノアルコキシシラン化合物は、好ましくは、例えば、Li、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+等の金属イオンを実質的に含まない。本明細書で使用する場合、Li、Na、K、Mg、Ca、Al、Fe、Ni、Crに関連する「実質的に含まない」という用語は、ICP-MSで測定して5ppm未満(重量)、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0.1ppm未満をいう。いくつかの実施形態において、式(1)のアルキル-アルコキシシラ環状化合物、式(2)のビスアルコキシシラン化合物、および式(3)のモノアルコキシシラン化合物は、例えば、Li、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+などの金属イオンを含まない。本明細書で使用する場合、Li、Na、K、Mg、Ca、Al、Fe、Ni、Crに関連する「金属不純物を含まない」という用語は、ICP-MSまたは他の金属測定用の分析方法で測定して1ppm未満、好ましくは0.1ppm(重量)、最も好ましくは0.05ppm(重量)である。
低誘電率膜は、有機シリカガラス(「OSG」)膜または材料である。有機シリカは、低k材料として、例えば電子産業で採用されている。材料特性は、膜の化学組成と構造に依存する。有機ケイ素前駆体の気体混合物の組成は、膜の構造と組成に強い影響を与えるため、所望の誘電率に達するために必要な量の多孔性を加えても、機械的に不健全な膜を生成しないように、必要な膜特性を与える有機ケイ素前駆体の混合物を使用することが有益である。本明細書に記載の方法および組成物は、電気的および機械的特性の望ましいバランス、ならびに改善された統合プラズマ抵抗を提供するための高炭素含有量として他の有益な膜特性を有する低k誘電体膜を生成する手段を提供する。
本明細書に記載の方法および組成物の特定の実施形態では、ケイ素含有誘電体材料の層は、反応チャンバーを採用する化学気相成長(CVD)プロセスを介して基板の少なくとも一部分に堆積される。したがって、本方法は、反応チャンバー内に基板を提供する工程を含む。好適な基板としては、ガリウムヒ素(「GaAs」)などの半導体材料、ケイ素、および結晶ケイ素、ポリケイ素、非晶質ケイ素、エピタキシャルケイ素、二酸化ケイ素(「SiO」)、ケイ素ガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ホウケイ酸ガラス、およびそれらの組み合わせなどのケイ素を含む組成物があるが、それらに限定されない。その他、クロム、モリブデンなど、半導体、集積回路、フラットパネルディスプレイ、フレキシブルディスプレイなどの用途で一般的に使用される金属が好適な材料として挙げられる。基板は、例えば、ケイ素、SiO、有機ケイ酸ガラス(OSG)、フッ素化ケイ酸ガラス(FSG)、炭化ホウ素、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機-無機複合材料、フォトレジスト、有機ポリマー、多孔性有機・無機材料および複合体、酸化アルミニウム、酸化ゲルマニウム等の金属酸化物などの追加層を有することがある。さらにまた、層は、ゲルマノシリケート、アルミノシリケート、銅およびアルミニウム、ならびにTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、またはWNなどの拡散障壁材料もあり得るが、これらに限定されない。
反応チャンバーは、典型的には、例えば、熱CVDまたはプラズマ強化CVD反応器、または様々な態様のバッチ炉型反応器である。一実施形態では、液体供給システムが利用され得る。液体送達製剤において、式(1)のアルキル-アルコキシシラ環状化合物と5%以下の式(2)のビスアルコキシシランまたは式(3)のモノアルコキシシラン化合物を含む前駆体混合物は、適切な液体形態で送達することができ、代わりに、溶剤製剤またはこれを含む組成物に採用することができる。したがって、特定の実施形態では、前駆体配合物は、基材上に膜を形成するための所定の最終使用用途において望ましくかつ有利であり得るような、適切な性質の(複数の)溶媒成分を含んでもよい。
本明細書が開示する方法は、式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビス-アルコキシシランまたは式(3)のモノアルコキシシラン化合物を含むガス状組成物を反応チャンバーに導入する工程を含む。いくつかの実施形態では、組成物は、例えば、O、O、およびNOなどの酸素含有種、気体または液体の有機物質、アルコール、CO、またはCOなどの追加の反応物を含んでもよい。一実施形態では、反応チャンバーに導入される反応混合物は、O、NO、NO、NO、CO、水、H、オゾン、およびそれらの組み合わせからなる群から選択される少なくとも1つの酸化剤を含む。代替的な実施形態では、反応混合物は酸化剤を含んでいない。
本明細書に記載の誘電体膜を堆積させるための組成物は、約95~約99.9重量%の式(1)のアルキル-アルコキシシラ環状化合物を含む。
本明細書に記載の誘電体膜を堆積させるための組成物は、式(2)のビスアルコキシシランを約5~約0.1重量%含む。
本明細書に記載の誘電体膜を堆積させるための組成物は、式(3)のモノアルコキシシラン化合物を約5~約0.1重量%含む。
実施形態において、式(1)のアルキル-アルコキシシラ環状化合物を含むガス状組成物は、例えば、塩化物のようなハロゲン化物を実質的に含まないか、または含まない。
実施形態において、式(2)のビスアルコキシシラン化合物を含むガス状組成物は、例えば、塩化物などのハロゲン化物を実質的に含まないか、または含まない。
実施形態において、式(3)のモノアルコキシシラン化合物を含むガス状組成物は、例えば、塩化物などのハロゲン化物を実質的に含まないか、または含まない。
式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物とを含むガス状組成物に加えて、堆積反応前、堆積反応中および/または堆積反応後に、追加の材料を反応チャンバー内に導入することができる。そのような材料としては、例えば、不活性ガス(例えば、He、Ar、N、Kr、Xeなど、揮発性の低い前駆体のキャリアガスとして採用され得る、および/または、所望により堆積したままの材料の硬化を促進してより安定した最終的な膜を提供できる可能性がある)が挙げられる。また、プラズマを用いた堆積プロセスで起こる不活性ガスの励起状態も、堆積プロセスにおいて重要な役割を果たすことがある。
式(1)のアルキル-アルコキシシラ環状化合物および5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物を含む、用いられる任意の試薬は、別個のソースとしてまたは混合物として反応器に投入することができる。試薬は、任意の数の手段によって反応器システムに供給することができ、好ましくは、プロセス反応器への液体の供給を可能にする適切なバルブおよび継手を備えた加圧可能なステンレス鋼容器を使用することができる。好ましくは、前駆体はガスとしてプロセス真空チャンバーに送られる、すなわち、液体はプロセスチャンバーに送られる前に気化されなければならない。N、He、またはArなどのキャリアガスは、気化および蒸気の反応器への輸送を助けるために使用されてもよい。
本明細書で開示される方法は、反応チャンバー内で、式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物を含むガス状組成物にエネルギーを与えて、式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物を含むガス状組成物の反応を誘導し、基板上に有機シリカ膜を堆積させる工程を含み、有機シリカ膜は、いくつかの実施形態では約2.70から約3.30の誘電率、他の実施形態では2.70から3.20の誘電率、さらに好ましい実施形態では2.80から3.10の誘電率、約6から約30GPaの弾性率、およびXPSで測定される炭素として約10~約45原子%を有する。ガス状試薬にエネルギーを印加して、式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物および他の反応物(存在する場合)を反応させ、基板上に膜を形成するように誘導する。このようなエネルギーは、例えば、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、リモートプラズマ、ホットフィラメント、および熱(すなわち、非フィラメント)および方法によって提供することができる。二次RF周波数源は、基材表面におけるプラズマ特性を修正するために使用することができる。好ましくは、膜は、プラズマ強化化学気相成長法(「PECVD」)により形成される。
気体試薬のそれぞれの流量は、300mmウェハ1枚当たり、好ましくは5~5000sccm、より好ましくは10~3000sccmの範囲である。実際に必要とされる流量は、ウェハサイズおよびチャンバーの構成に依存する可能性があり、決して300mmウェハまたはシングルウェハチャンバーに限定されるものではない。
特定の実施形態では、膜は、毎分約5~約600ナノメートル(nm)の堆積速度で堆積される。他の実施形態では、膜は、毎分約20~200ナノメートル(nm)の堆積速度で堆積される。
堆積中の反応チャンバー内の圧力は、通常、約0.01~約600torrまたは約1~15torrの範囲である。
膜は、好ましくは0.001~500ミクロンの厚さに堆積されるが、厚さは必要に応じて変化させることができる。非パターン化された表面上に堆積されたブランケット膜は、優れた均一性を有し、基板上の厚みのばらつきが1標準偏差で3%以下であり、適度なエッジが排除され、例えば、基板の最外周5mmは、統計的に均一性を計算する際に含まれない。
本発明は、本発明OSG製品に加えて、製品が作られるプロセス、製品の使用方法、および製品の調製に有用な化合物および組成物も含む。例えば、半導体デバイス上に集積回路を作るためのプロセスは、米国特許第6,583,049号に開示されており、これは参照により本明細書に組み込まれる。
開示された方法で製造された緻密な有機シリカ膜は、特にエッチングやフォトレジストストリッププロセス中のプラズマによる損傷に対して優れた耐性を示す。
開示された方法により製造された緻密な有機シリカ膜は、それと同じ誘電率を有するが、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物の不存在下で式(1)のアルキル-アルコキシシラ環状化合物を用いた緻密な有機シリカ膜に対して、与えられた誘電率において優れた機械的特性を示す。得られた(堆積したままの)有機シリカ膜は、典型的には、ある実施形態では約2.70から約3.30、他の実施形態では約2.80から約3.20、さらに他の実施形態では約2.70から約3.10の誘電率であって、約6~約30GPaの弾性率を有し、XPSで測定される炭素は、約10~約45原子%である。他の実施形態では、得られる有機シリカ膜は、ある実施形態では約2.70から約3.30、他の実施形態では約2.80から約3.20、およびさらに他の実施形態では約2.80から約3.10の誘電率であって、約6から約30GPaの弾性率を有し、XPSで測定される炭素は、約10~約45原子%である。
得られた緻密な有機シリカ膜は、一旦堆積された後、後処理工程に付されることもある。したがって、本明細書で使用される「後処理」という用語は、材料特性をさらに高めるために、エネルギー(例えば、熱、プラズマ、光子、電子、マイクロ波など)または化学物質で膜を処理することを示す。
後処理が行われる条件は、大きく異なり得る。例えば、後処理は、高圧下または真空環境下で実施することができる。
UVアニーリングは、以下の条件で実施するのが好ましい方法である。
環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、亜酸化窒素など)または還元性(希釈または濃縮水素、炭化水素(飽和、不飽和、直線または分枝、芳香族)など)でもよい。圧力は、好ましくは約1Torr~約1000Torrである。しかし、熱アニールだけでなく、他のあらゆる後処理手段においても、真空雰囲気が好ましい。温度は好ましくは200~500℃であり、温度上昇速度は0.1~100deg℃/分である。総紫外線アニーリング時間は、好ましくは0.01分~12時間である。
以下、実施例を参照して本発明をより詳細に説明するが、これに限定されるものではないことは理解されるべきである。また、本発明に記載の前駆体は、既存の多孔性低k膜と比較して同様のプロセス上の利点を有する多孔性低k膜(すなわち、所定の値の誘電率に対して高い弾性率であるが、膜のXPS炭素含有量にほとんどまたは全く変化がなく、PIDに対する耐性、リーク電流、または破壊電界にほとんどまたは全く変化ない)を堆積するのにも用いることができると認識される。
すべての実験は、2枚のウェハに同時に膜を堆積する300mmのAMAT Producer SEで行われた。したがって、前駆体およびガスの流量は、2枚のウェハに同時に膜を堆積させるために必要な流量に対応する。各ウェハプロセスステーションはそれぞれ独立したRF電源を持っているので、ウェハあたりの記載されたRF電力は正しい。堆積圧力は、両方のウェハプロセッシングステーションが同じ圧力に維持されているため、正しい値である。
ある特定の実施形態および例を参照して上記に図示および説明したが、それにもかかわらず、本発明は、示された詳細に限定されることを意図していない。むしろ、特許請求の範囲の範囲および均等物の範囲内で、本発明の精神から逸脱することなく、細部において様々な変更がなされ得る。例えば、本明細書で広く述べられているすべての範囲は、より広い範囲に含まれるすべての狭い範囲をその範囲に含むことが明示的に意図されている。また、本発明で開示する式(1)のアルキル-アルコキシシラ環状化合物と、5%以下の式(2)のビスアルコキシシラン化合物または式(3)のモノアルコキシシラン化合物とを含むガス状組成物は、所定の誘電率に対して高い弾性率を有しており、膜のXPS炭素含有量にほとんどまたは全く変化がなく、PIDに対する耐性、リーク電流、または破壊電界にほとんどまたは全く変化ないと予想される多孔質低k膜を堆積するための構造体フォーマーとして使用できることが認識される。
膜厚と屈折率は、Woollam社のモデルM2000分光エリプソメーターで測定した。膜の電気的特性(すなわち、誘電率、リーク電流、初期破壊電界)は、中抵抗のp型ウェハ(範囲8~12Ω-cm)上でHgプローブ技術を使用して決定した。FTIRスペクトルは、12インチウェハを扱うために窒素パージしたPike Technologies Map300を取り付けたThermo Fisher Scientific Model iS50スペクトロメーターを使用して測定された。FTIRスペクトルを用いて、架橋ジシリルメチレン基(1360cm-1付近を中心とするSiCHSi IRバンド)、末端ケイ素メチル基(1270cm-1付近を中心とするSi(CHIRバンド)、CH(約2800cm-1から3000cm-1のCHIRバンド)の相対密度など、膜中の様々な官能基を算出した。例示すると、赤外分光法によって決定される、膜中の架橋ジシリルメチレン基の相対密度(すなわち、SiCHSi密度)は、1360cm-1付近を中心とするSiCHSi赤外バンドの面積を、約1250cm-1から920cm-1の間のSiOバンドの面積で除した1E4倍として計算した。機械的特性は、KLA iNano Nanoindenterを使用して測定した。
組成データは、PHI 5600(73560、73808)またはThermo K-Alpha(73846)を用いたX線光電子分光法(XPS)により得られ、原子量パーセントで表示されている。表で報告されている原子量パーセント(%)値には、水素は含まれていない。
比較例1.1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MPSCP)前駆体を用いた緻密な低k膜の堆積
300mm加工用の以下のプロセス条件を用いて、緻密なMPSCP系の膜を堆積した。MPSCP前駆体は、1500標準立方センチメートル/分(sccm)のHeキャリアガス流を使用して2000mg/分の流量で直接液体注入(DLI)により反応チャンバーに供給し、酸素は25sccmの流量で質量流量コントローラ(MFC)を介して反応チャンバーに供給され、380ミリインチシャワーヘッド/ヒートペデスタル間隔、ペデスタル温度400℃、チャンバー圧力7.5Torrで、391Wの13.56MHzプラズマを印加した。膜の様々な属性(例えば、誘電率(k)、弾性率および硬度、赤外スペクトル、および原子量パーセント炭素(%C))は、上述のように得られ、表1に示されている。
Figure 2023542352000003
比較例2:1-メチル-1-イソプロポキシ-1-シラシクロペンタン(MPSCP)とビス(イソプロポキシ)-メチル-ブチルシラン0.9%の混合物を用いた緻密な低k膜の堆積
MPSCPと0.9%ビス(イソプロポキシ)-メチル-ブチルシランの混合物を用いて、300mm加工で以下のプロセス条件にて緻密な低k膜を堆積した。MPSCPと0.9%ビス(イソプロポキシ)-メチル-ブチルシランの混合物を、1500sccmのHeキャリアガス流を用いて2000mg/分の流量で直接液体注入(DLI)によって反応チャンバーに供給し、酸素は25sccmの流量でMFCによって反応チャンバーに供給され、380ミリインチシャワーヘッド/ヒートペデスタル間隔、ペデスタル温度400℃、チャンバー圧力7.5Torrで、391Wの13.56MHzプラズマを印加した。膜の様々な属性(例えば、誘電率(k)、弾性率および硬度、赤外スペクトル、および原子量パーセント炭素(%C))は、上述のように得られ、表1に示されている。
実施例3:DEMS(登録商標)前駆体を用いた稠密な低k膜の堆積
300mmプロセスにおいて、以下のプロセス条件を用いて、緻密なDEMS(登録商標)系膜を堆積した。DEMS(登録商標)前駆体を、2250sccmのHeキャリアガス流を用い、750mg/分の流量で直接液体注入(DLI)により反応チャンバーに供給し、380ミリインチシャワーヘッド/ヒートペデスタル間隔、ペデスタル温度345℃、チャンバー圧力10Torrで、200Wの13.56MHzプラズマを印加した。膜の様々な属性(例えば、誘電率(k)および赤外スペクトル)は、上記のように得られ、表2に示されている。
Figure 2023542352000004
実施例4:DEMS(登録商標)とTEOSの混合物を使用した稠密な低k膜の堆積
DEMS(登録商標)とTEOSの混合物を用いて、300mmプロセスで以下のプロセス条件で緻密な低k膜を堆積した。DEMS(登録商標)前駆体は、1000sccmのHeキャリアガス流を使用して、1625mg/分の流量で直接液体注入(DLI)により反応チャンバーに供給され、TEOSは、1000sccmのHeキャリアガス流を使用して1750mg/分の流量で直接液体注入(DLI)により反応チャンバーに供給し、酸素は50sccmの流量でMFCにより反応チャンバーに供給し、380ミリインチシャワーヘッド/加熱台座間隔、300℃台座温度、400W、7.0Torrチャンバー圧で13.56MHzのプラズマを印加した。膜の様々な属性(例えば、誘電率(k)および赤外スペクトル)は、上記のように得られ、表2に示される。
表1より、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランの混合物を用いて堆積した膜は、MPSCPを用いて堆積した膜に対して、予想外に高い弾性率を有していることが分かる。測定の不確かさの範囲内で、両方の膜は同じ誘電率、同じXPS炭素含有量、個々のIRスペクトルから計算された同じ相対CH密度、Si(CH密度、およびSi(CH)Si密度を有することが確認された。このように、MPSCP系の膜に対して、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランの混合物を用いて堆積した膜は、弾性率が高く(+5%)、他の膜特性にはほとんど変化がないことが分かる。その結果、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランを混合した膜は、MPSCPのみから堆積した膜と同様にPIDに対して強い耐性を持つことが予想される。また、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランを混合した膜は、MPSCPのみから堆積した膜と同様に低リーク電流と高初期破壊電界が予想できる。
図1は、MPSCPから堆積した比較膜の赤外スペクトルと、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランの混合物から堆積した膜のスペクトルを示す。IRスペクトルはほぼ同じで、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランの混合物を用いて堆積した膜は、MPSCPのみから堆積した膜に対してIR活性官能基の同一性と相対密度にほとんど変化がないことを示している。
図2は、DEMS(登録商標)から堆積した比較膜、DEMS(登録商標)とTEOSの混合物から堆積した比較膜、MPSCPから堆積した比較膜という3種類の低k膜の印加電界に対する電流密度の変化を示している。DEMS(登録商標)とTEOSの混合物から堆積した膜は、DEMS(登録商標)から堆積した膜に比べて、リーク電流(通常、電界強度1MV/cmまたは2MV/cmにおける電流密度)が高く、初期破壊電界(通常、電流密度が少なくとも2桁以上急激に増加する電界強度)が低くなっていることが分かる。これに対し、MPSCPと0.9%のビス(イソプロポキシ)-メチル-ブチルシランの混合物から堆積した本発明に記載の膜は、弾性率が高く(+5%)、MPSCPのみから堆積した膜とほぼ同じリーク電流と初期破壊電界強度が予想できる。
図2に示したDEMS(登録商標)から堆積した膜とDEMS(登録商標)とTEOSの混合物から堆積した膜の特性を表2に示す。両者とも誘電率は同じであるが、DEMS(登録商標)とTEOSの混合物から堆積した膜は、CHとSiCHSiの相対IR密度が小さいことからわかるように、全炭素量が少ないことが分かる。なお、DEMS(登録商標)とTEOSの混合物から堆積された膜では、架橋ジシリルメチレン(SiCHSi)基の相対密度がDEMS(登録商標)から堆積された膜に比べて1桁低くなっている。このことから、DEMS(登録商標)とTEOSの混合膜は、DEMS(登録商標)のみから堆積した膜に比べて、PIDに対する耐性が低くなることが予想される。

Claims (25)

  1. 緻密な有機シリカ膜を製造する方法であって、前記方法は、
    反応チャンバー内に基板を提供することと、
    式(1)のアルキル-アルコキシシラ環状化合物
    (ここで、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から独立して選ばれ、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から選ばれ、Rは、Si原子と4員、5員または6員の環状環を形成するC~C10アルキルジラジカルから選ばれる)、および、
    5%以下の式(2)で与えられる構造を有するビスアルコキシシランまたは式(3)で与えられる構造を有するモノアルコキシシラン、
    (2) RSi(OR
    (3) HRSi(OR
    (ここで、Rは、水素、直鎖状又は分岐状のC~C10アルキル基、直鎖状又は分岐状のC~C10アルケニル基、直鎖状又は分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から選択され、RおよびRは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基およびC~C10へテロアリール基から独立して選ばれる)、
    を含むガス状混合物を前記反応チャンバーに導入することと、
    前記反応チャンバー内の前記ガス状混合物にエネルギーを印加して、前記ガス状混合物の反応を誘導し、それによって前記基板上に前記緻密な有機シリカ膜を堆積させることと、
    を含む方法。
  2. 前記式(1)のアルキル-アルコキシシラ環状化合物が、ハロゲン化物、水、金属、およびそれらの組み合わせからなる群から選択される1つまたは複数の不純物を実質的に含まない、請求項1に記載の方法。
  3. 前記式(2)で与えられる構造を有するビスアルコキシシランが、ハロゲン化物、水、金属、およびそれらの組み合わせからなる群から選択される1つまたは複数の不純物を実質的に含まない、請求項1に記載の方法。
  4. 前記式(3)で与えられる構造を有するモノアルコキシシランが、ハロゲン化物、水、金属、およびそれらの組み合わせからなる群から選択される1つまたは複数の不純物を実質的に含まない、請求項1に記載の方法。
  5. 前記有機シリカ膜が、約2.70から約3.30の誘電率、約6から約30GPaの弾性率、および約10から約45%のXPS炭素含有量を有する、請求項1に記載の方法。
  6. 化学気相成長法である、請求項1に記載の方法。
  7. プラズマ強化化学気相成長法である、請求項1に記載の方法。
  8. 前記ガス状組成物が、O、NO、NO、NO、CO、CO、水、H、オゾン、アルコールおよびそれらの組み合わせからなる群から選択される少なくとも1つの酸化剤をさらに含む、請求項1に記載の方法。
  9. 前記ガス状組成物が酸化剤を含まない、請求項1に記載の方法。
  10. 前記印加工程における前記反応チャンバーが、He、Ar、N、Kr、Xe、COおよびCOからなる群から選択される少なくとも1つのガスを含む、請求項1に記載の方法。
  11. 前記有機シリカ膜が、632nmにおいて約1.3から約1.7の屈折率(RI)を有する、請求項1に記載の方法。
  12. 前記有機シリカ膜が、約5nm/分から約600nm/分の速度で堆積される、請求項1に記載の方法。
  13. ガス状組成物であって、式(1)のアルキル-アルコキシシラ環状化合物
    (ここで、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から独立して選ばれ、Rは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から選ばれ、Rは、Si原子と4員、5員または6員の環状環を形成するC~C10アルキルジラジカルから選ばれる)、および、
    5%以下の式(2)で与えられる構造を有するビスアルコキシシランまたは式(3)で与えられる構造を有するモノアルコキシシラン、
    (2) RSi(OR
    (3) HRSi(OR
    (ここで、Rは、水素、直鎖状又は分岐状のC~C10アルキル基、直鎖状又は分岐状のC~C10アルケニル基、直鎖状又は分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環状アルキル基、C~C10アリール基、およびC~C10ヘテロアリール基から選択され、RおよびRは、水素、直鎖状または分岐状のC~C10アルキル基、直鎖状または分岐状のC~C10アルケニル基、直鎖状または分岐状のC~C10アルキニル基、C~C10環状アルキル基、C~C10複素環アルキル基、C~C10アリール基およびC~C10へテロアリール基から独立して選ばれる)、
    を含むガス状組成物。
  14. 前記式(1)のアルキル-アルコキシシラ環状化合物が、実質的に塩化物イオンを含まない、請求項1に記載の組成物。
  15. 塩化物イオンが存在する場合、ICにより測定して50ppm以下の濃度で存在する、請求項14に記載の組成物。
  16. 前記塩化物イオンが存在する場合、ICにより測定して10ppm以下の濃度で存在する、請求項15に記載の組成物。
  17. 前記塩化物イオンが存在する場合、ICにより測定して5ppm以下の濃度で存在する、請求項16に記載の組成物。
  18. 前記式(2)で与えられる構造を有するビスアルコキシシランが、実質的に塩化物イオンを含まない、請求項13に記載の組成物。
  19. 塩化物イオンが存在する場合、ICにより測定して50ppm以下の濃度で存在する、請求項16に記載の組成物。
  20. 前記塩化物イオンが存在する場合、ICにより測定して10ppm以下の濃度で存在する、請求項19に記載の組成物。
  21. 前記塩化物イオンが存在する場合、ICにより測定して5ppm以下の濃度で存在する、請求項20に記載の組成物。
  22. 前記式(3)で与えられる構造を有するモノアルコキシシランが、実質的に塩化物イオンを含まない、請求項13に記載の組成物。
  23. 塩化物イオンが存在する場合、ICにより測定して50ppm以下の濃度で存在する、請求項22に記載の組成物。
  24. 前記塩化物イオンが存在する場合、ICにより測定して10ppm以下の濃度で存在する、請求項23に記載の組成物。
  25. 前記塩化物イオンが存在する場合、ICにより測定して5ppm以下の濃度で存在する、請求項24に記載の組成物。
JP2023518222A 2020-09-22 2021-09-16 誘電体膜の特性を向上させる添加剤 Pending JP2023542352A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063081903P 2020-09-22 2020-09-22
US63/081,903 2020-09-22
PCT/US2021/050736 WO2022066508A1 (en) 2020-09-22 2021-09-16 Additives to enhance the properties of dielectric films

Publications (1)

Publication Number Publication Date
JP2023542352A true JP2023542352A (ja) 2023-10-06

Family

ID=80846834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023518222A Pending JP2023542352A (ja) 2020-09-22 2021-09-16 誘電体膜の特性を向上させる添加剤

Country Status (5)

Country Link
JP (1) JP2023542352A (ja)
KR (1) KR20230072493A (ja)
CN (1) CN116419987A (ja)
TW (1) TWI799994B (ja)
WO (1) WO2022066508A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003022A (ko) * 1989-07-21 1991-02-26 노만 에드워드 루이스 Uv경화성 조성물을 위한 접착 부가제로서의 반응 생성물 및 이를 함유하는 조성물
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
WO2019246061A1 (en) * 2018-06-19 2019-12-26 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same

Also Published As

Publication number Publication date
KR20230072493A (ko) 2023-05-24
TW202217050A (zh) 2022-05-01
TWI799994B (zh) 2023-04-21
WO2022066508A1 (en) 2022-03-31
CN116419987A (zh) 2023-07-11

Similar Documents

Publication Publication Date Title
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
JP2004312041A (ja) 低誘電率材料およびcvdによる処理方法
TWI772883B (zh) 單烷氧基矽烷及使用其製造的密有機二氧化矽膜
JP2022547588A (ja) モノアルコキシシラン及びジアルコキシシラン、並びにそれらから作られる高密度の有機シリカ膜
JP2023542352A (ja) 誘電体膜の特性を向上させる添加剤
TWI798884B (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
KR102670993B1 (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름
US20220388033A1 (en) Precursors for depositing films with high elastic modulus
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
US11164739B2 (en) Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films