US20140183706A1 - Dielectric Films Comprising Silicon And Methods For Making Same - Google Patents

Dielectric Films Comprising Silicon And Methods For Making Same Download PDF

Info

Publication number
US20140183706A1
US20140183706A1 US14/204,577 US201414204577A US2014183706A1 US 20140183706 A1 US20140183706 A1 US 20140183706A1 US 201414204577 A US201414204577 A US 201414204577A US 2014183706 A1 US2014183706 A1 US 2014183706A1
Authority
US
United States
Prior art keywords
derivatives
silicon
dielectric film
silicon precursor
disilylbenzene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/204,577
Inventor
Liu Yang
Manchao Xiao
Kirk Scott Cuthill
Bing Han
Mark Leonard O'Neill
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US14/204,577 priority Critical patent/US20140183706A1/en
Publication of US20140183706A1 publication Critical patent/US20140183706A1/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YANG, LIU, CUTHILL, KIRK SCOTT, XIAO, MANCHAO, HAN, BING, O'NEILL, MARK LEONARD
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • ultra-shallow junctions, low sheet resistance and abrupt lateral junctions are important to reduce short channel effects and to increase transistor saturation current in source drain extensions.
  • low energy implants and sharp spike anneals have been used to resolve the issues such as transient enhanced diffusion (TED), solid solubility, and channeling.
  • Spike anneal is typically performed by subjecting a semiconductor substrate having implanted dopants to temperature treatment in a rapid thermal processing (RTP) system.
  • RTP rapid thermal processing
  • a typical annealing profile using RTP may involve ramping up to a target temperature, e.g. 1050° C., soaking the substrate at the target temperature for a period of time (which is generally referred to as “soak time”), and ramping down to a base temperature, e.g. 200° C.
  • the stability of the material to the annealing process may be critical to the device performance. More specifically, the dielectric films used for such applications should exhibit one or more of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class.
  • Described herein are methods of forming dielectric films comprising silicon, such as, but not limited to, silicon oxide, silicon oxynitride, silicon oxycarbide, and combinations thereof, that exhibit at least one of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.
  • a method for forming a dielectric film on at least one surface of a substrate comprising: providing the at least one surface of the substrate in a reaction chamber; and forming the dielectric film on the at least one surface by a deposition process chosen from a chemical vapor deposition process and an atomic layer deposition process from an at least one silicon precursor selected from
  • a silicon-containing precursor having the following formula I: R 1 3 Si—R 2 —SiR 3 3 wherein R 2 is independently selected from an alkyl group, and an aryl group and wherein R 1 and R 3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably a precursor selected from the group consisting of
  • a method of forming a dielectric film comprising silicon via an atomic layer deposition process comprising the steps of:
  • a method of forming a dielectric film comprising silicon oxide onto at least a surface of a substrate using a CVD process comprising:
  • FIG. 1 provides a spike anneal profile of an exemplary dielectric film prepared by the method described herein and deposited using 1,4-disilabutane as the at least one silicon-containing precursor.
  • FIG. 2 provides an illustration of the effect of deposition temperature on the wet etch rate for dielectric films and deposited using 1,4-disilabutane as the at least one silicon-containing precursor.
  • FIG. 3 provides the fourier transform spectroscopy (FTIR) spectra for an exemplary film deposited using 1,4-dilabutane and a comparative film deposited using bis(tert-butylamino)silane (BTBAS).
  • FTIR Fourier transform spectroscopy
  • dielectric films comprising silicon and methods for forming same that exhibit at least one of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class.
  • the dielectric films described herein exhibit one or more these characteristics while exhibiting 20% or less or 15% or less or 10% or less or 5% or less of the films wet etch resistance, dielectric performance, and/or film structure when comparing the same characteristics before and after a rapid thermal processing step.
  • silicon-containing precursors such as but not limited to, 1,4-disilabutane, 1,3-disilabutane, 1,3-disilacyclobutane, 1,4-disilacyclohexane, and 2,5-disilahexane, that deposit dielectric films that provide during high temperature spike anneal up to 1000° C. and while maintaining at least one of the aforementioned characteristics (e.g., wet etch resistance, dielectric performance, and/or film structure).
  • These silicon-containing precursors exhibit the desired reactivity during the deposition and may provide, in certain embodiments, better control of film deposition, e.g., manageable deposition rate and film uniformity.
  • films deposited using these particular silicon-containing precursors may contain appropriate levels and types of carbon in silicon oxide, silicon oxycarbide, or silicon carbide networks that may enable a significant reduction in wet etch rate yet maintaining a certain dielectric constant value and stability to a transient high temperature spike anneal.
  • the method used to form the dielectric films or coatings are deposition processes.
  • suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD).
  • CCVD cyclic CVD
  • MOCVD Metal Organic CVD
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma-photon assisted
  • cryogenic chemical vapor deposition chemical assisted vapor deposition
  • hot-filament chemical vapor deposition hot-filament chemical vapor deposition
  • the metal containing films are deposited via plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process.
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced cyclic CVD
  • the term “chemical vapor deposition processes” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition.
  • the term “atomic layer deposition process” refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits conformal films of materials onto substrates of varying compositions.
  • the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation.
  • the vaporized precursors can pass through a plasma generator.
  • the dielectric film is deposited using an ALD process.
  • the dielectric film is deposited using a CCVD process.
  • the dielectric film is deposited using a thermal CVD process.
  • the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursors prior to and/or during the introduction to the reactor.
  • deposition techniques such as an ALD or CCVD processes are used to deposit the dielectric film.
  • the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the silicon-containing precursor, oxygen source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
  • the method disclosed herein forms the dielectric film using at least one silicon-containing precursor wherein the at least one silicon-containing precursor is selected from a silicon-containing precursor having the following formula I:
  • R 2 is independently selected from an alkyl group, and an aryl group and wherein R 1 and R 3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom and an alkoxy group; 1,3-disilabutane and derivatives thereof; preferably selected from the group consisting of 1,4-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; optionally an additional silicon-containing precursor, an oxygen source or reagent in less than stoichiometric amount relative to the silicon of the silicon precursor, optionally a reducing agent, and optionally a nitrogen source.
  • precursor materials for deposition depends upon the desired resultant dielectric material or film.
  • a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant dielectric film or coating that are formed under CVD.
  • the precursor material may also be chosen for various other characteristics such as cost, non-toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, etc.
  • a dielectric films is formed using at least one silicon-containing precursor comprising the following Formula I:
  • R 2 is independently selected from an alkyl group, and an aryl group and wherein R 1 and R 3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom and an alkoxy group.
  • alkyl denotes a linear, branched, or cyclic functional group having from 1 to 20, or from 1 to 12 or from 1 to 6 carbon atoms.
  • exemplary alkyl groups include but are not limited to methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, hexyl, octyl, decyl, dodecyl, tetradecyl, octadecyl, isopentyl, and tert-pentyl.
  • aryl denotes a cyclic functional group having from 6 to 12 carbon atoms.
  • exemplary aryl groups include but are not limited to phenyl, benzyl, tolyl, and o-xylyl.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 2 to 20 or from 2 to 12 or from 2 to 6 carbon atoms.
  • alkoxy denotes an alkyl group which has is linked to an oxygen atom (e.g., R—O) and may have from 1 to 20, or from 1 to 12, or from 1 to 6 carbon atoms.
  • exemplary alkoxy groups include but are not limited to methoxy (—OCH 3 ) and ethoxy group (—OCH 2 CH 3 ).
  • one or more of the alkyl group, aryl group, and/or alkoxy group may be substituted or unsubstituted or have one or more atoms or group of atoms substituted in place of a hydrogen atom.
  • substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous.
  • the at least one silicon-containing precursor having Formula I has an alkoxy substituent and/or one or more substituents comprising oxygen atoms. In these embodiments, the need for an oxygen source during the deposition process may be avoided. In other embodiments, the at least one silicon-containing precursor having Formula I has an alkoxy substituent and/one of more substituents comprising oxygen atoms also uses an oxygen source.
  • the at least one silicon-containing precursor comprises 1,3-disilacyclobutane and derivatives thereof.
  • derivatives thereof describes a compound that is derived from an originating compound wherein one or more hydrogen atoms, substituent groups, or both are replaced or substituted with a group that differs from the originating compound.
  • the at least one silicon-containing precursor comprises 1,4-disilacyclohexane and derivatives thereof.
  • the at least one silicon-containing precursor comprises 1,3-disilabutane and derivatives thereof.
  • the at least one silicon-containing precursor comprises 1,4-disilabutane and derivatives thereof.
  • the at least one silicon-containing precursor comprises 1,4-disilylbenzene and derivatives thereof.
  • the at least one silicon-containing precursor comprises 1,3-disilylbenzene and derivatives thereof.
  • the method described herein further comprises one or more additional silicon-containing precursors other than the silicon-containing precursor having the above Formula I, 1,3-disilacyclobutane and derivatives thereof, 1,4-disilacyclohexane and substituents thereof, 1,3-disilabutane and derivatives thereof; 1,4-disilabutane and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; and combinations thereof.
  • additional silicon-containing precursors include, but are not limited to, organo-silicon compounds such as siloxanes (e.g., hexamethyl disiloxane (HMDSO) and dimethyl siloxane (DMSO)); organosilanes (e.g., methylsilane; dimethylsilane; vinyl trimethylsilane; trimethylsilane; tetramethylsilane; ethylsilane; disilylmethane; 2,4-disilapentane; 1,4-disilabutane; 2,5-disilahexane; 2,2-disilylpropane; 1,3,5-trisilacyclohexane, and fluorinated derivatives of these compounds; phenyl-containing organo-silicon compounds (e.g., dimethylphenylsilane and diphenylmethylsilane); oxygen-containing organo-silicon compounds (e.g., dimethyldimethoxysilane; 1,
  • the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other embodiments, the silicon-containing precursor may be introduced into the reactor for a predetermined time period, or from about 0.001 to about 500 seconds.
  • the dielectric films deposited using the methods described herein are formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen.
  • An oxygen source may be introduced into the reactor in the form of at least one oxygen source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable oxygen source gases may include, for example, water (H 2 O) (e.g., deionized water, purifier water, and/or distilled water), oxygen (O 2 ), oxygen plasma, ozone (O 3 ), NO, NO 2 , carbon monoxide (CO), carbon dioxide (CO 2 ) and combinations thereof.
  • the oxygen source comprises an oxygen source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm.
  • the oxygen source can be introduced for a time that ranges from about 0.1 to about 100 seconds.
  • the oxygen source comprises water having a temperature of 10° C. or greater.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • the oxygen source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited dielectric film.
  • the deposition methods disclosed herein may involve one or more purge gases.
  • the purge gas which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors.
  • Exemplary inert gases include, but are not limited to, Ar, N 2 , He, neon, H 2 and mixtures thereof.
  • a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
  • the temperature of the reactor or a deposition chamber may range from ambient temperature (e.g., 25° C.) to about 700° C.
  • Exemplary reactor temperatures for the ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700° C.
  • Examples of particular reactor temperature ranges include but are not limited to, 25° C. to 375° C., or from 75° C. to 700° C., or from 325° C.
  • the pressure may range from about 0.1 Torr to about 100 Torr or from about 0.1 Torr to about 5 Torr.
  • the dielectric film is deposited using a thermal CVD process at a pressure ranging from 100 mTorr to 600 mTorr. In another particular embodiment, the dielectric film is deposited using an ALD process at a temperature range of 1 Torr or less.
  • the temperature of the substrate in the reactor or a deposition chamber may range from ambient temperature (e.g., 25° C.) to about 700° C.
  • Exemplary substrate temperatures for the ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700° C.
  • Examples of particular substrate temperature ranges include but are not limited to, 25° C. to 375° C., or from 75° C. to 700° C., or from 325° C. to 675° C.
  • the substrate temperature may be the same as or in the same temperature range as the reactor temperature during the deposition. In other embodiments, the substrate temperature differs from the reactor temperature during the deposition.
  • the respective step of supplying the precursors, the oxygen source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting dielectric film.
  • Energy is applied to the at least one of the precursor, oxygen source, reducing agent, other precursors or combination thereof to induce reaction and to form the dielectric film or coating on the substrate.
  • energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, and remote plasma methods.
  • a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • the silicon-containing precursors and/or other precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways.
  • a liquid delivery system may be utilized.
  • a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, leading to reproducible transport and deposition without thermal decomposition of the precursor.
  • the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same.
  • the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • a cyclic deposition process such as CCVD, ALD, or PEALD may be employed, wherein at least one silicon-containing precursor selected from a silicon-containing precursor having Formula I, 1,3-disilacyclobutane and substituents thereof, 1,4-disilacyclohexane and substituents thereof; and combinations thereof and optionally an oxygen source such as, for example, ozone, oxygen plasma or water plasma are employed.
  • the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the silicon-containing precursor having Formula I is kept at one or more temperatures for bubbling, whereas the solution comprising the at least one silicon-containing precursor selected from a silicon-containing precursor having Formula I, 1,3-disilacyclobutane and substituents thereof, 1,4-disilacyclohexane and substituents thereof; and combinations thereof is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
  • a flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the at least one silicon-containing precursor to the reaction chamber during the precursor pulsing.
  • the reaction chamber process pressure is about 1 Torr.
  • the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the complex to chemically adsorb onto the surface of the substrate.
  • a purge gas such as argon purges away unabsorbed excess complex from the process chamber.
  • an oxygen source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber.
  • the process cycle can be repeated to achieve the desired film thickness.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and the oxygen source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film.
  • the dielectric films is formed using a ALD deposition method that comprises the steps of:
  • the above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a dielectric film is obtained.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and oxygen source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
  • the dielectric film is deposited using a thermal CVD process.
  • the method comprises: placing one or more substrates into a reactor which is heated to a temperature ranging from ambient temperature to about 700° C.
  • R 1 3 Si—R 2 —SiR 3 3 wherein R 2 is independently selected from, an alkyl group, and an aryl group and wherein R 1 and R 3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably selected from the group consisting of:
  • the resultant dielectric films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • the dielectric films described herein have a dielectric constant of 6 or less.
  • the films Preferably, have a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below.
  • the method described herein may be used to deposit a dielectric film on at least a portion of a substrate.
  • suitable substrates include but are not limited to, silicon, SiO 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN.
  • the films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
  • CMP chemical mechanical planarization
  • the deposited dielectric films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), and liquid crystal displays (LCD).
  • MEMS microelectromechanical systems
  • TFT thin film transistor
  • LCD liquid crystal displays
  • thickness and optical properties such as refractive index of the dielectric films were performed on a FilmTek 2000SE ellipsometer.
  • the range of wavelength of the light used is between 200 nm to 900 nanometers (nm). Since the extinction coefficient (k) for SiO 2 is zero when the wavelength of the light is between 200 nm and 4000 nm and the dispersion of SiO 2 is well known, the data are only fit on the high frequency dielectric constant.
  • the thickness and refractive index of the dielectric film can be obtained by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model).
  • the RMSE root of mean square error
  • the characterization of the chemical composition of the films is accomplished using a Physical Electronics 5000VersaProbe XPS Spectrometer, which is equipped with multi-channel plate detectors (MCD) and an Al monochromatic X-ray source.
  • the XPS data are collected using Alk ⁇ X-ray excitation (25 mA and 15 kV).
  • the low-resolution survey spectra are collected at 117 eV pass energy, 50 millisecond dwell time, and 1.0 eV/step.
  • the high-resolution regional spectra are collected at 23.5 eV pass energy, 50 msec dwell time, 0.1 eV/step.
  • the analysis area is 100 ⁇ m at a take-off-angle of 45°.
  • the etch test is carried out at 1 wt % HF (in deionized (DI) water) solution.
  • Exemplary dielectric films are placed in HF solution for 30 seconds, followed by rinsing in DI wafer and drying before being measured again for the loss of the material during the etch.
  • the films, along with the comparative silicon oxide films, are measured for their thickness at 9 different points across the film surface before and after etch using an ellipsometer and a method described above.
  • the etch rate is then calculated as the thickness reduction divided by the time that the films are immersed into the HF solution.
  • FTIR Fourier Infrared Spectroscopy
  • the dielectric constant of each sample film was determined according to ASTM Standard D150-98.
  • Dielectric constants, k are calculated from a C-V curve measured with a MDC 802B-150 Mercury Probe. It consists of a probe stage that holds the sample and forms electrical contacts on the film to be measured, a Keithley 236 source meter and HP4284A LCR meter for C-V measurement. Si wafers that have relatively low electrical resistivity (sheet resistance less than 0.02 ohm-cm) are used to deposit the films for C-V measurement.
  • Front contact mode is used to form electrical contacts to the film. Liquid metal (mercury) is pushed out through a thin tube from a reservoir to the surface of the wafer to form two electrically conductive contacts. The contact areas are calculated based on the diameter of the tube from which the mercury is pushed out.
  • the dielectric constant is then calculated from the formula:
  • k (the capacitance) ⁇ (the contact area)/the thickness of the film.
  • Spike anneal processing was performed using a RTP-610 which uses high intensity visible radiation to heat a single wafer for a short time at precisely controlled temperatures. The temperatures are monitored and controlled by a pyrometer for temperature above 900° C.
  • the spike anneal profile used in this work is illustrated in FIG. 1 , i.e., ramping from room temperature to 1000° C. at rate of 200° C./second, maintaining at 1000° C. for 2 seconds and free fall to room temperature. The annealing was performed under N 2 atmosphere.
  • Exemplary silicon oxide dielectric films were deposited using the precursor 1,4-disilabutane onto a silicon wafer using a 100 mm tube furnace under the following deposition conditions: deposition temperature of 600° C., pressure of 250 mTorr, 1,4-disilabutane precursor flow of 12 standard cubic centimeter (sccm) and oxygen O 2 flow of 10 sccm.
  • the wet etch rate, dielectric constant and thickness of the film before and after high temperature rapid anneal are provided in Tables 1 and Table 2.
  • Table 2 the exemplary films made using 1,4-disilabutane can withstand high temperature rapid anneal (or spike anneal) while maintaining its excellent wet resistance and low dielectric constant.
  • FIG. 1 provides a spike anneal profile for an exemplary dielectric film prepared by the method described herein and deposited using 1,4-disilabutane as the at least one silicon-containing precursor.
  • Table 2 also shows that the film thickness also experiences very little change after rapid anneal.
  • FIG. 2 provides an illustration of the effect of deposition temperature on the wet etch rate for dielectric films and deposited using 1,4-disilabutane as the at least one silicon-containing precursor. It can be seen from FIG. 2 that the etch resistance degrades rapidly as the deposition temperature decreases below 500° C.
  • XPS X-ray Photoelectron Spectroscopy
  • Comparative silicon oxide dielectric films were deposited using the precursors Bis(tert-butylamino)silane (BTBAS) and bis(isopropylamino)vinylmethylsilane onto a silicon wafer.
  • BBAS Bis(tert-butylamino)silane
  • IVS isopropylamino
  • the wet etch rate, dielectric constant and thickness of the comparative dielectric films before and after high temperature rapid thermal anneal are provided in Tables 4-5 and Tables 7-8 for BTBAS and bis(isopropylamino)vinylmethylsilane, respectively.
  • the XPS spectra of a comparative dielectric films deposited using BTBAS that have undergone spike anneal process and have not undergone spike anneal process are provided and summarized in Table 6.
  • the as-deposited films of Table 10 were subject to a rapid high temperature post deposition thermal anneal (RTA). Again, as reported in Table 11, below, the film deposited with oxygen at less than a 1:1 molecular ratio to the silicon precursor, showed a dramatic decrease in wet etch rate.
  • RTA rapid high temperature post deposition thermal anneal

Abstract

Described herein are methods of forming dielectric films comprising silicon, such as, but not limited to, silicon oxide, silicon oxycarbide, silicon carbide, and combinations thereof, that exhibit at least one of the following characteristics: low wet etch resistance, a dielectric constant of 6.0 or below, and/or can withstand a high temperature rapid thermal anneal process. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • The present patent application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/159,939 filed Mar. 13, 2009.
  • BACKGROUND OF THE INVENTION
  • For high speed semiconductor devices, ultra-shallow junctions, low sheet resistance and abrupt lateral junctions are important to reduce short channel effects and to increase transistor saturation current in source drain extensions. To help form such shallow and low sheet resistance junctions, low energy implants and sharp spike anneals have been used to resolve the issues such as transient enhanced diffusion (TED), solid solubility, and channeling.
  • Spike anneal is typically performed by subjecting a semiconductor substrate having implanted dopants to temperature treatment in a rapid thermal processing (RTP) system. A typical annealing profile using RTP may involve ramping up to a target temperature, e.g. 1050° C., soaking the substrate at the target temperature for a period of time (which is generally referred to as “soak time”), and ramping down to a base temperature, e.g. 200° C.
  • As the wafers undergo the spike anneal process, the stability of the material to the annealing process, particularly for materials deposited at lower temperatures, may be critical to the device performance. More specifically, the dielectric films used for such applications should exhibit one or more of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class.
  • BRIEF SUMMARY OF THE INVENTION
  • Described herein are methods of forming dielectric films comprising silicon, such as, but not limited to, silicon oxide, silicon oxynitride, silicon oxycarbide, and combinations thereof, that exhibit at least one of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.
  • In one aspect, there is provided a method for forming a dielectric film on at least one surface of a substrate comprising: providing the at least one surface of the substrate in a reaction chamber; and forming the dielectric film on the at least one surface by a deposition process chosen from a chemical vapor deposition process and an atomic layer deposition process from an at least one silicon precursor selected from
  • a silicon-containing precursor having the following formula I: R1 3Si—R2—SiR3 3 wherein R2 is independently selected from an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably a precursor selected from the group consisting of
  • 1,3-disilabutane and derivatives thereof;
  • 1,4-disilabutane and derivatives thereof;
  • 1,4-disilylbenzene and derivatives thereof;
  • 1,3-disilylbenzene and derivatives thereof;
  • 1,3-disilacyclobutane and derivatives thereof;
  • 1,4-disilacyclohexane and derivatives thereof; and combinations thereof; and an oxygen source in a molecular amount less than a 1:1 ratio to the silicon precursor.
  • In another aspect, there is provided a method of forming a dielectric film comprising silicon via an atomic layer deposition process, the method comprising the steps of:
  • a. providing a substrate in an ALD reactor;
  • b. introducing into the ALD reactor an at least one silicon precursor selected from a silicon-containing precursor having the following formula I: R1 3Si—R2—SiR3 3 wherein R2 is independently selected from an alkyl group and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably the silicon precursor is selected from the group consisting of:
      • 1,3-disilabutane and derivatives thereof;
      • 1,4-disilabutane and derivatives thereof;
      • 1,4-disilylbenzene and derivatives thereof;
      • 1,3-disilylbenzene and derivatives thereof;
      • 1,3-disilacyclobutane and derivatives thereof;
      • 1,4-disilacyclohexane and derivatives thereof; and combinations thereof;
  • c. purging the ALD reactor with a gas;
  • d. introducing an oxygen source into the ALD reactor in a molecular amount less than a 1:1 ratio to the silicon precursor;
  • e. purging the ALD reactor with a gas; and
  • f. repeating the steps b through e until a desired thickness of the dielectric film is obtained.
  • In a further aspect, there is provided a method of forming a dielectric film comprising silicon oxide onto at least a surface of a substrate using a CVD process comprising:
  • a. providing a substrate in a reactor;
  • b. introducing into the ALD reactor an at least one silicon precursor selected from a silicon-containing precursor having the following formula I: R1 3Si—R2—SiR3 3 wherein R2 is independently selected from an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably the silicon precursor is selected from the group consisting of:
  • 1,3-disilabutane and derivatives thereof;
  • 1,4-disilabutane and derivatives thereof;
  • 1,4-disilylbenzene and derivatives thereof;
  • 1,3-disilylbenzene and derivatives thereof;
  • 1,3-disilacyclobutane and derivatives thereof;
  • 1,4-disilacyclohexane and derivatives thereof; and combinations thereof; and
  • c. providing an oxygen source, in a molecular amount less than a 1:1 ratio to the silicon precursor, to deposit the dielectric film onto the at least one surface.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 provides a spike anneal profile of an exemplary dielectric film prepared by the method described herein and deposited using 1,4-disilabutane as the at least one silicon-containing precursor.
  • FIG. 2 provides an illustration of the effect of deposition temperature on the wet etch rate for dielectric films and deposited using 1,4-disilabutane as the at least one silicon-containing precursor.
  • FIG. 3 provides the fourier transform spectroscopy (FTIR) spectra for an exemplary film deposited using 1,4-dilabutane and a comparative film deposited using bis(tert-butylamino)silane (BTBAS).
  • DETAILED DESCRIPTION OF THE INVENTION
  • Described herein are dielectric films comprising silicon and methods for forming same that exhibit at least one of the following characteristics: relatively lower wet etch rate (such as when exposed to dilute HF); dielectric constant of 6.0 or less; good within wafer uniformity, conformality, or combinations thereof; resistance to gas phase processes (such as for example oxidative plasmas); and/or exhibits relatively little to no change in properties and film structure when subjected to a temperature spike anneal process compared to similar materials of its class. The dielectric films described herein exhibit one or more these characteristics while exhibiting 20% or less or 15% or less or 10% or less or 5% or less of the films wet etch resistance, dielectric performance, and/or film structure when comparing the same characteristics before and after a rapid thermal processing step.
  • Described herein are certain silicon-containing precursors, such as but not limited to, 1,4-disilabutane, 1,3-disilabutane, 1,3-disilacyclobutane, 1,4-disilacyclohexane, and 2,5-disilahexane, that deposit dielectric films that provide during high temperature spike anneal up to 1000° C. and while maintaining at least one of the aforementioned characteristics (e.g., wet etch resistance, dielectric performance, and/or film structure). These silicon-containing precursors exhibit the desired reactivity during the deposition and may provide, in certain embodiments, better control of film deposition, e.g., manageable deposition rate and film uniformity. Without wishing to be bound by theory, it is thought that films deposited using these particular silicon-containing precursors may contain appropriate levels and types of carbon in silicon oxide, silicon oxycarbide, or silicon carbide networks that may enable a significant reduction in wet etch rate yet maintaining a certain dielectric constant value and stability to a transient high temperature spike anneal.
  • The method used to form the dielectric films or coatings are deposition processes. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the metal containing films are deposited via plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term “chemical vapor deposition processes” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition. As used herein, the term “atomic layer deposition process” refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits conformal films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the dielectric film is deposited using an ALD process. In another embodiment, the dielectric film is deposited using a CCVD process. In a further embodiment, the dielectric film is deposited using a thermal CVD process.
  • In certain embodiments, the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursors prior to and/or during the introduction to the reactor. In this connection, deposition techniques such as an ALD or CCVD processes are used to deposit the dielectric film. In one embodiment, the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the silicon-containing precursor, oxygen source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
  • As mentioned previously, the method disclosed herein forms the dielectric film using at least one silicon-containing precursor wherein the at least one silicon-containing precursor is selected from a silicon-containing precursor having the following formula I:

  • R1 3Si—R2—SiR3 3  Formula I
  • wherein R2 is independently selected from an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom and an alkoxy group; 1,3-disilabutane and derivatives thereof; preferably selected from the group consisting of 1,4-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; optionally an additional silicon-containing precursor, an oxygen source or reagent in less than stoichiometric amount relative to the silicon of the silicon precursor, optionally a reducing agent, and optionally a nitrogen source. The selection of precursor materials for deposition depends upon the desired resultant dielectric material or film. For example, a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant dielectric film or coating that are formed under CVD. The precursor material may also be chosen for various other characteristics such as cost, non-toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, etc.
  • In one embodiment of the method disclosed herein, a dielectric films is formed using at least one silicon-containing precursor comprising the following Formula I:

  • R1 3Si—R2—SiR3 3  Formula I
  • wherein R2 is independently selected from an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom and an alkoxy group.
  • In Formula I and throughout the description, the term “alkyl” denotes a linear, branched, or cyclic functional group having from 1 to 20, or from 1 to 12 or from 1 to 6 carbon atoms. Exemplary alkyl groups include but are not limited to methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, hexyl, octyl, decyl, dodecyl, tetradecyl, octadecyl, isopentyl, and tert-pentyl.
  • In Formula I and throughout the description, the term “aryl” denotes a cyclic functional group having from 6 to 12 carbon atoms. Exemplary aryl groups include but are not limited to phenyl, benzyl, tolyl, and o-xylyl. In Formula I and throughout the description, the term “alkenyl group” denotes a group which has one or more carbon-carbon double bonds and has from 2 to 20 or from 2 to 12 or from 2 to 6 carbon atoms.
  • In Formula I and throughout the description, the term “alkoxy” denotes an alkyl group which has is linked to an oxygen atom (e.g., R—O) and may have from 1 to 20, or from 1 to 12, or from 1 to 6 carbon atoms. Exemplary alkoxy groups include but are not limited to methoxy (—OCH3) and ethoxy group (—OCH2CH3). In certain embodiments, one or more of the alkyl group, aryl group, and/or alkoxy group may be substituted or unsubstituted or have one or more atoms or group of atoms substituted in place of a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous.
  • In certain embodiments, the at least one silicon-containing precursor having Formula I has an alkoxy substituent and/or one or more substituents comprising oxygen atoms. In these embodiments, the need for an oxygen source during the deposition process may be avoided. In other embodiments, the at least one silicon-containing precursor having Formula I has an alkoxy substituent and/one of more substituents comprising oxygen atoms also uses an oxygen source.
  • In another embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,3-disilacyclobutane and derivatives thereof. The term “derivatives thereof” as used herein describes a compound that is derived from an originating compound wherein one or more hydrogen atoms, substituent groups, or both are replaced or substituted with a group that differs from the originating compound.
  • In a further embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,4-disilacyclohexane and derivatives thereof.
  • In yet another embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,3-disilabutane and derivatives thereof.
  • In a still further embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,4-disilabutane and derivatives thereof.
  • In yet another embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,4-disilylbenzene and derivatives thereof.
  • In yet another embodiment of the method described herein, the at least one silicon-containing precursor comprises 1,3-disilylbenzene and derivatives thereof.
  • In certain embodiments, the method described herein further comprises one or more additional silicon-containing precursors other than the silicon-containing precursor having the above Formula I, 1,3-disilacyclobutane and derivatives thereof, 1,4-disilacyclohexane and substituents thereof, 1,3-disilabutane and derivatives thereof; 1,4-disilabutane and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; and combinations thereof.
  • Examples of additional silicon-containing precursors include, but are not limited to, organo-silicon compounds such as siloxanes (e.g., hexamethyl disiloxane (HMDSO) and dimethyl siloxane (DMSO)); organosilanes (e.g., methylsilane; dimethylsilane; vinyl trimethylsilane; trimethylsilane; tetramethylsilane; ethylsilane; disilylmethane; 2,4-disilapentane; 1,4-disilabutane; 2,5-disilahexane; 2,2-disilylpropane; 1,3,5-trisilacyclohexane, and fluorinated derivatives of these compounds; phenyl-containing organo-silicon compounds (e.g., dimethylphenylsilane and diphenylmethylsilane); oxygen-containing organo-silicon compounds (e.g., dimethyldimethoxysilane; 1,3,5,7-tetramethylcyclotetrasiloxane; 1,1,3,3-tetramethyldisiloxane; 1,3,5,7-tetrasila-4-oxo-heptane; 2,4,6,8-tetrasila-3,7-dioxo-nonane; 2,2-dimethyl-2,4,6,8-tetrasila-3,7-dioxo-nonane; octamethylcyclotetrasiloxane; [1,3,5,7,9]-pentamethylcyclopentasiloxane; 1,3,5,7-tetrasila-2,6-dioxo-cyclooctane; hexamethylcyclotrisiloxane; 1,3-dimethyldisiloxane; 1,3,5,7,9-pentamethylcyclopentasiloxane; hexamethoxydisiloxane, and fluorinated derivatives of these compounds.
  • Depending upon the deposition method, in certain embodiments, the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other embodiments, the silicon-containing precursor may be introduced into the reactor for a predetermined time period, or from about 0.001 to about 500 seconds.
  • As previously mentioned, the dielectric films deposited using the methods described herein are formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen. An oxygen source may be introduced into the reactor in the form of at least one oxygen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable oxygen source gases may include, for example, water (H2O) (e.g., deionized water, purifier water, and/or distilled water), oxygen (O2), oxygen plasma, ozone (O3), NO, NO2, carbon monoxide (CO), carbon dioxide (CO2) and combinations thereof. In certain embodiments, the oxygen source comprises an oxygen source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm. The oxygen source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In one particular embodiment, the oxygen source comprises water having a temperature of 10° C. or greater. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between. The oxygen source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited dielectric film.
  • The deposition methods disclosed herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary inert gases include, but are not limited to, Ar, N2, He, neon, H2 and mixtures thereof. In certain embodiments, a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
  • In certain embodiments of the method described herein, the temperature of the reactor or a deposition chamber may range from ambient temperature (e.g., 25° C.) to about 700° C. Exemplary reactor temperatures for the ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700° C. Examples of particular reactor temperature ranges include but are not limited to, 25° C. to 375° C., or from 75° C. to 700° C., or from 325° C. to 675° C. In this or other embodiments, the pressure may range from about 0.1 Torr to about 100 Torr or from about 0.1 Torr to about 5 Torr. In one particular embodiment, the dielectric film is deposited using a thermal CVD process at a pressure ranging from 100 mTorr to 600 mTorr. In another particular embodiment, the dielectric film is deposited using an ALD process at a temperature range of 1 Torr or less.
  • In certain embodiments of the method described herein, the temperature of the substrate in the reactor or a deposition chamber, may range from ambient temperature (e.g., 25° C.) to about 700° C. Exemplary substrate temperatures for the ALD or CVD deposition include ranges having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675, or 700° C. Examples of particular substrate temperature ranges include but are not limited to, 25° C. to 375° C., or from 75° C. to 700° C., or from 325° C. to 675° C. In certain embodiments, the substrate temperature may be the same as or in the same temperature range as the reactor temperature during the deposition. In other embodiments, the substrate temperature differs from the reactor temperature during the deposition.
  • The respective step of supplying the precursors, the oxygen source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting dielectric film.
  • Energy is applied to the at least one of the precursor, oxygen source, reducing agent, other precursors or combination thereof to induce reaction and to form the dielectric film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, and remote plasma methods. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • The silicon-containing precursors and/or other precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways. In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, leading to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • In one embodiment of the method described herein, a cyclic deposition process such as CCVD, ALD, or PEALD may be employed, wherein at least one silicon-containing precursor selected from a silicon-containing precursor having Formula I, 1,3-disilacyclobutane and substituents thereof, 1,4-disilacyclohexane and substituents thereof; and combinations thereof and optionally an oxygen source such as, for example, ozone, oxygen plasma or water plasma are employed.
  • The gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the silicon-containing precursor having Formula I is kept at one or more temperatures for bubbling, whereas the solution comprising the at least one silicon-containing precursor selected from a silicon-containing precursor having Formula I, 1,3-disilacyclobutane and substituents thereof, 1,4-disilacyclohexane and substituents thereof; and combinations thereof is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
  • A flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the at least one silicon-containing precursor to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 1 Torr.
  • In a typical ALD or CCVD process, the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the complex to chemically adsorb onto the surface of the substrate.
  • A purge gas such as argon purges away unabsorbed excess complex from the process chamber. After sufficient purging, an oxygen source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness.
  • In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and the oxygen source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film.
  • In another embodiment of the method disclosed herein, the dielectric films is formed using a ALD deposition method that comprises the steps of:
  • a. introducing an at least one silicon precursor selected from a silicon-containing precursor having the following formula I: R1 3Si—R2—SiR3 3 wherein R2 is independently selected from an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, group, a halogen atom and an alkoxy group, preferably selected from the group consisting of:
  • 1,3-disilabutane and derivatives thereof;
  • 1,4-disilabutane and derivatives thereof;
  • 1,4-disilylbenzene and derivatives thereof;
  • 1,3-disilylbenzene and derivatives thereof;
  • 1,3-disilacyclobutane and derivatives thereof;
  • 1,4-disilacyclohexane and derivatives thereof; and combinations thereof; and
  • chemisorbing the at least one silicon precursor onto a substrate;
  • purging away the unreacted at least one silicon-containing precursor using a purge gas;
  • providing an oxygen source in a molecular amount less than a 1:1 ratio to the silicon precursor onto the heated substrate to react with the sorbed at least one silicon-containing precursor; and
  • optionally purging away any unreacted oxygen source.
  • The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a dielectric film is obtained. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and oxygen source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting dielectric film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
  • For multi-component dielectric films, other precursors such as silicon-containing precursors, nitrogen-containing precursors, reducing agents, or other reagents can be alternately introduced into the reactor chamber. In a further embodiment of the method described herein, the dielectric film is deposited using a thermal CVD process. In this embodiment, the method comprises: placing one or more substrates into a reactor which is heated to a temperature ranging from ambient temperature to about 700° C. and maintained at a pressure of 1 Torr or less; introducing at least one silicon-containing precursor an at least one silicon precursor selected from a silicon-containing precursor having the following formula I: R1 3Si—R2—SiR3 3 wherein R2 is independently selected from, an alkyl group, and an aryl group and wherein R1 and R3 are each independently selected from H, an alkyl group, an aryl group, an alkenyl group, a halogen atom, and an alkoxy group; preferably selected from the group consisting of:
  • 1,3-disilabutane and derivatives thereof;
  • 1,4-disilabutane and derivatives thereof;
  • 1,4-disilylbenzene and derivatives thereof;
  • 1,3-disilylbenzene and derivatives thereof;
  • 1,3-disilacyclobutane and derivatives thereof;
  • 1,4-disilacyclohexane and derivatives thereof; and combinations thereof; and providing an oxygen source into the reactor in a molecular amount less than a 1:1 ratio to the silicon precursor, to deposit a dielectric film onto the one or more substrates wherein the reactor is maintained at a pressure ranging from 100 mTorr to 600 mTorr during the introducing step.
  • In certain embodiments, the resultant dielectric films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • The dielectric films described herein have a dielectric constant of 6 or less. Preferably, the films have a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below.
  • As mentioned previously, the method described herein may be used to deposit a dielectric film on at least a portion of a substrate. Examples of suitable substrates include but are not limited to, silicon, SiO2, Si3N4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. The films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
  • The deposited dielectric films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), and liquid crystal displays (LCD).
  • The following examples illustrate the method for preparing a dielectric film described herein and are not intended to limit it in any way.
  • EXAMPLES
  • In the following examples, unless stated otherwise, properties were obtained from sample films that were deposited onto medium resistivity (8-12 Ωcm) single crystal silicon wafer substrates.
  • In the following examples, thickness and optical properties such as refractive index of the dielectric films were performed on a FilmTek 2000SE ellipsometer. Spectroscopic reflection data at normal incidence (angle of incidence=0°) are used for the data fitting. The range of wavelength of the light used is between 200 nm to 900 nanometers (nm). Since the extinction coefficient (k) for SiO2 is zero when the wavelength of the light is between 200 nm and 4000 nm and the dispersion of SiO2 is well known, the data are only fit on the high frequency dielectric constant. The thickness and refractive index of the dielectric film can be obtained by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model). The RMSE (root of mean square error) is used to determine the goodness of the fitting and the valve has to be less than 1% for the results of the measurement to be considered reliable
  • The characterization of the chemical composition of the films is accomplished using a Physical Electronics 5000VersaProbe XPS Spectrometer, which is equipped with multi-channel plate detectors (MCD) and an Al monochromatic X-ray source. The XPS data are collected using Alkα X-ray excitation (25 mA and 15 kV). The low-resolution survey spectra are collected at 117 eV pass energy, 50 millisecond dwell time, and 1.0 eV/step. The high-resolution regional spectra are collected at 23.5 eV pass energy, 50 msec dwell time, 0.1 eV/step. The analysis area is 100 μm at a take-off-angle of 45°.
  • The quantitative elemental analyses were determined by measuring the peak areas from the high-resolution regional spectra and applying the transmission-function corrected atomic sensitivity factors. A PHI Summitt software is used for data collection and CasaXPS software is used for data analysis. The etch rate is calibrated against 203 nm SiO2/Si and is approximately 120 Å/min.
  • The etch test is carried out at 1 wt % HF (in deionized (DI) water) solution. Exemplary dielectric films are placed in HF solution for 30 seconds, followed by rinsing in DI wafer and drying before being measured again for the loss of the material during the etch. Two comparative thermal silicon oxide films with a known and consistent etch rate, or bis(tert-butylamino)silane (BTBAS) and bis(isopropylamino)vinylmethylsilane films, were used as comparative examples and were loaded in the same cassette with the films to be characterized and etched at the same time. The films, along with the comparative silicon oxide films, are measured for their thickness at 9 different points across the film surface before and after etch using an ellipsometer and a method described above. The etch rate is then calculated as the thickness reduction divided by the time that the films are immersed into the HF solution.
  • Fourier Infrared Spectroscopy (FTIR) data was collected on the wafers using a Thermo Nicolet Nexus 470 system equipped with a DTGS KBR detector and KBr beam splitter. Background spectra were collected on similar medium resistivity wafers to eliminate CO2 and water from the spectra. Data was obtained in the range of from 4000 to 400 cm−1 by collecting 32 scans with a resolution of 4 cm−1. The OMNIC software package was used to process the data. All films were baseline corrected, intensities were normalized to a film thickness of 500 nm, and peaks areas and heights of interest were determined with the OMNIC software.
  • The dielectric constant of each sample film was determined according to ASTM Standard D150-98. Dielectric constants, k, are calculated from a C-V curve measured with a MDC 802B-150 Mercury Probe. It consists of a probe stage that holds the sample and forms electrical contacts on the film to be measured, a Keithley 236 source meter and HP4284A LCR meter for C-V measurement. Si wafers that have relatively low electrical resistivity (sheet resistance less than 0.02 ohm-cm) are used to deposit the films for C-V measurement. Front contact mode is used to form electrical contacts to the film. Liquid metal (mercury) is pushed out through a thin tube from a reservoir to the surface of the wafer to form two electrically conductive contacts. The contact areas are calculated based on the diameter of the tube from which the mercury is pushed out. The dielectric constant is then calculated from the formula:

  • k=(the capacitance)×(the contact area)/the thickness of the film.
  • Spike anneal processing was performed using a RTP-610 which uses high intensity visible radiation to heat a single wafer for a short time at precisely controlled temperatures. The temperatures are monitored and controlled by a pyrometer for temperature above 900° C. The spike anneal profile used in this work is illustrated in FIG. 1, i.e., ramping from room temperature to 1000° C. at rate of 200° C./second, maintaining at 1000° C. for 2 seconds and free fall to room temperature. The annealing was performed under N2 atmosphere.
  • Example 1 The Film Properties Before and after High Temperature Rapid Anneal
  • Exemplary silicon oxide dielectric films were deposited using the precursor 1,4-disilabutane onto a silicon wafer using a 100 mm tube furnace under the following deposition conditions: deposition temperature of 600° C., pressure of 250 mTorr, 1,4-disilabutane precursor flow of 12 standard cubic centimeter (sccm) and oxygen O2 flow of 10 sccm.
  • The wet etch rate, dielectric constant and thickness of the film before and after high temperature rapid anneal are provided in Tables 1 and Table 2. As can be seen from Table 2, the exemplary films made using 1,4-disilabutane can withstand high temperature rapid anneal (or spike anneal) while maintaining its excellent wet resistance and low dielectric constant. FIG. 1 provides a spike anneal profile for an exemplary dielectric film prepared by the method described herein and deposited using 1,4-disilabutane as the at least one silicon-containing precursor. Table 2 also shows that the film thickness also experiences very little change after rapid anneal.
  • FIG. 2 provides an illustration of the effect of deposition temperature on the wet etch rate for dielectric films and deposited using 1,4-disilabutane as the at least one silicon-containing precursor. It can be seen from FIG. 2 that the etch resistance degrades rapidly as the deposition temperature decreases below 500° C.
  • TABLE 1
    Wet Etch Rate (WER) and Dielectric Data for Dielectric Films
    Deposited Using 1,4-disilabutane
    Wet Etch Dielectric
    Rate (Å/min) constant (K)
    No No
    Ex. Anneal Anneal Change Ex. Anneal Anneal change
    1 9.0 5 5.80
    2 10.2 6 5.89
    3 3.0 7 4.36
    4 1.0 8 4.90
  • TABLE 2
    Thickness And Refractive Index (RI) Before And After Spike
    Anneal (RTA) For Dielectric Films Deposited Using
    1,4-Disilabutane
    Thickness (Å) R.I.
    Change change
    Example Before After (%) Before After (%)
    Ex. 7 1069 1051 −1.71 1.689 1.703 0.83
    Ex. 8 940 867 −7.77 1.696 1.694 −0.11
  • The X-ray Photoelectron Spectroscopy (XPS) spectra of the exemplary dielectric films that have undergone spike anneal process and have not undergone spike anneal process are provided and summarized in Table 3. As can be seen from Table 3, there is no significant difference in carbon content before and after spike anneal. This may suggest that there is very little carbon loss in exemplary films deposited using 1,4-disilabutane as the at least one silicon-containing precursor due to spike anneal processing.
  • TABLE 3
    Summary of XPS Analysis for Dielectric
    Films Deposited Using 1,4-Disilabutane
    Sample ID Condition O C Si
    As-dep As Received 49.8 18.5 31.6
    After 50 Angstrom Sputter 52.5 8.4 39.1
    After 150 Angstrom Sputter 52.4 9.8 37.8
    Post-RTA* As Received 54.7 13.2 32.1
    After 50 Angstrom Sputter 50.3 10.2 39.5
    After 150 Angstrom Sputter 49.9 10.2 39.9
    *Rapid Thermal Anneal
  • Example 2 Comparative Dielectric Films Deposited Using Bis(Tert-Butylamino)Silane (BTBAS) and Bis(Isopropylamino)Vinylmethylsilane
  • Comparative silicon oxide dielectric films were deposited using the precursors Bis(tert-butylamino)silane (BTBAS) and bis(isopropylamino)vinylmethylsilane onto a silicon wafer.
  • The wet etch rate, dielectric constant and thickness of the comparative dielectric films before and after high temperature rapid thermal anneal are provided in Tables 4-5 and Tables 7-8 for BTBAS and bis(isopropylamino)vinylmethylsilane, respectively. The XPS spectra of a comparative dielectric films deposited using BTBAS that have undergone spike anneal process and have not undergone spike anneal process are provided and summarized in Table 6.
  • TABLE 4
    Wet Etch Rate and Dielectric Data for
    Dielectric Films Deposited Using BTBAS
    Thickness R.I.*
    No anneal Anneal Change (%) No anneal Anneal Change (%)
    1029 982.5 −4.51895 1.51 1.48 −1.98675
    *Refractive Index
  • TABLE 5
    Thickness and Refractive Index Before and After spike
    anneal for Dielectric Films Deposited Using BTBAS
    Etch rate (Å/Min) Dielectric Constant (K)
    No anneal Anneal Change (%) No anneal Anneal Change (%)
    169.5 70.5 −58.4071 6.34 5.55 −12.4606
  • TABLE 6
    Summary of XPS Analysis for Dielectric
    Films Deposited Using BTBAS
    Film Location State O C Si
    BTBAS A As Received 53.8 12.3 28.9
    (As-dep) After 120 {acute over (Å)} Sputter 61.2 ND 36.5
    BTBAS B As Received 53.5 11.3 30.5
    (As-dep) After 120 {acute over (Å)} Sputter 59.4 2.4 35.6
  • TABLE 7
    Thickness and Refractive Index Before and After
    spike anneal for Dielectric Films Deposited Using
    Bis(isopropylamino)vinylmethylsilane
    Thickness (Å) Refractive Index (R.I.)
    Before After Before After
    Anneal Anneal Change (%) Anneal Anneal Change
    1153 974 −16 1.480 1.589 0.109
    1056 960 −9 1.482 1.54 0.058
    1023 830 −19 1.484 1.597 0.113
    964 828 −14 1.484 1.56 0.076
    1049 898 −14 1.482 1.571 0.089
  • TABLE 8
    Wet Etch Rate and Dielectric Data for Dielectric Films Deposited
    Using Bis(isopropylamino)vinylmethylsilane data
    Wet Etch Rate
    in 1:100 HF Dielectric
    (Å/min) Constant (K)
    Before After Before After
    Anneal Anneal Change Wafer ID Anneal Anneal Change
    1.2 681-4 7.67
    1.2 681-9 7.51
    27 681-5 7.46
    40.2 681-8 7.85
    1.2 33.6 32.4 Average 7.59 7.655 0.065
  • Example 3 Less Than a 1:1 Silicon Precursor:Oxygen Source Molecular Ratio
  • Experiments were run to demonstrate the criticality of depositing the silicon precursors of the present invention in the presence of an amount of an oxygen source that is available in the reaction at less than a 1:1 ratio with the silicon precursor on a molecular basis. In Table 9, below, 2,5-Disilahexane was reacted with varying molecular ratios of oxygen at a temperature of 600° C. and at 250 milliTorr. As can be seen in Table 9, when the as-deposited films are subject to evaluation for their wet etch rate (WER), the as deposited films using oxygen at a molar ratio of silicon precursor to oxygen where oxygen is present at less than a 1:1 ratio shows remarkable reduction in wet etch rate.
  • TABLE 9
    2,5-Disilahexane Reacted with Varying Amounts of Oxygen*
    Precursor:O2 As Deposited
    sccmt flow ratio Ratio RI x Dielectric K WERy (Å/min)
    1.1:1.0 1.1 1.4871 4.59 0
     1.0:1.25 0.8 1.4726 4.67 14.4
    1.0:1.8 0.55 1.4533 5.46 33
    2.2:1 2.2 1.5354 4.64 1.2
    *runs at reactor temperature of 600° C. and pressure of 250 milliTorr.
    tstandard cubic centimeters per minute.
    x refractive index.
    ywet etch rate
  • These experiments were repeated with 1,4-Disilabutane under the same reactor conditions. The same dramatic reduction is wet etch rate is shown for 1,4-Disilabutane when the oxygen is present in less than a 1:1 molecular ratio in relation to the silicon precursor, as shown in Table 10, below.
  • TABLE 10
    1,4-Disilabutane Reacted with Varying Amounts of Oxygen*
    As Deposited
    Precursor:O2 Dep Rate Dielectric WERy
    sccmt flow ratio Ratio (Å/min) RIx (K) (Å/min)
    1.6:1.0  1.6 74.4 1.7839 5.61 1.8
    1.0:1.25 0.8 70.1 1.5303 4.73 4.2
    *runs at reactor temperature of 600° C. and pressure of 250 milliTorr.
    tstandard cubic centimeters per minute.
    xrefractive index.
    ywet etch rate
  • The as-deposited films of Table 10 were subject to a rapid high temperature post deposition thermal anneal (RTA). Again, as reported in Table 11, below, the film deposited with oxygen at less than a 1:1 molecular ratio to the silicon precursor, showed a dramatic decrease in wet etch rate.
  • TABLE 11
    1,4-Disilabutane Reacted with Varying Amounts of Oxygen*
    Precursor:O2 Post RTA
    seemt flow ratio Ratio RIx Dielectric (K) WERy (Å/min)
    1.6:1.0  1.6 1.8606 5.08 0
    1.0:1.25 0.8 1.5581 4.19 2.4
    *runs at reactor temperature of 600° C. and pressure of 250 milliTorr.
    tstandard cubic centimeters per minute.
    xrefractive index.
    ywet etch rate

Claims (26)

1-10. (canceled)
11. A method for forming a dielectric film on at least one surface of a substrate, the method comprising:
providing the at least one surface of the substrate in a reaction chamber;
providing at least one silicon precursor selected from the group consisting of 1,3-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; and combinations thereof;
providing an oxygen source in a molecular amount less than a 1:1 ratio to the silicon precursor; and
forming the dielectric film on the at least one surface by a deposition process chosen from a chemical vapor deposition process and an atomic layer deposition process, wherein the dielectric film comprises carbon and the carbon is not substantially associated with methyl substituents bonded to a silicon atom.
12. The method of claim 11 wherein the silicon precursor is 1,3-disilabutane and derivatives thereof.
13. The method of claim 11 wherein the silicon precursor is 1,4-disilylbenzene and derivatives thereof.
14. The method of claim 11 wherein the silicon precursor is 1,3-disilylbenzene and derivatives thereof.
15. The method of claim 11 wherein the silicon precursor is 1,3-disilacyclobutane and derivatives thereof.
16. The method of claim 11 wherein the silicon precursor is 1,4-disilacyclohexane and derivatives thereof.
17. The method of claim 11 wherein the oxygen source comprises oxygen.
18. The method of claim 11 wherein the oxygen source comprises ozone.
19. The method of claim 11 wherein the dielectric film comprises silicon oxycarbide.
20. A method of forming a dielectric film comprising silicon oxide via an atomic layer deposition (ALD) process, the method comprising the steps of:
a. providing a substrate in an ALD reactor;
b. providing in the ALD reactor an at least one silicon precursor selected from the group consisting of 1,3-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; and combinations thereof;
c. purging the ALD reactor with an inert gas;
d. providing an oxygen source in the ALD reactor, in a molecular amount less than a 1:1 ratio to the silicon precursor;
e. purging the ALD reactor with an inert gas; and
f. repeating the steps b through e until a desired thickness of the dielectric film is obtained, wherein the dielectric film comprises carbon and the carbon is not substantially associated with methyl substituents bonded to a silicon atom.
21. The method of claim 20 wherein the silicon precursor is 1,3-disilabutane and derivatives thereof.
22. The method of claim 20 wherein the silicon precursor is 1,4-disilylbenzene and derivatives thereof.
23. The method of claim 20 wherein the silicon precursor is 1,3-disilylbenzene and derivatives thereof.
24. The method of claim 20 wherein the silicon precursor is 1,3-disilacyclobutane and derivatives thereof.
25. The method of claim 20 wherein the silicon precursor is 1,4-disilacyclohexane and derivatives thereof.
26. A method of forming a dielectric film comprising silicon oxide onto at least a surface of a substrate using a chemical vapor deposition (CVD) process, the method comprising:
a. providing a substrate in a CVD reactor;
b. introducing into the CVD reactor an at least one silicon precursor selected from the group consisting of 1,3-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; and combinations thereof;
c. providing an oxygen source into the CVD reactor, in a molecular amount less than a 1:1 ratio to the silicon precursor; and
d. forming the silicon oxide dielectric film on the at least one surface, wherein the dielectric film comprises carbon and the carbon is not substantially associated with methyl substituents bonded to a silicon atom.
27. The method of claim 26 wherein the silicon precursor is 1,3-disilabutane and derivatives thereof.
28. The method of claim 26 wherein the silicon precursor is 1,4-disilylbenzene and derivatives thereof.
29. The method of claim 26 wherein the silicon precursor is 1,3-disilylbenzene and derivatives thereof.
30. The method of claim 26 wherein the silicon precursor is 1,3-disilacyclobutane and derivatives thereof.
31. The method of claim 26 wherein the silicon precursor is 1,4-disilacyclohexane and derivatives thereof.
32. A dielectric film made by a method comprising the steps of:
providing the at least one surface of the substrate in a reaction chamber;
providing at least one silicon precursor selected from the group consisting of 1,3-disilabutane and derivatives thereof; 1,4-disilylbenzene and derivatives thereof; 1,3-disilylbenzene and derivatives thereof; 1,3-disilacyclobutane and derivatives thereof; 1,4-disilacyclohexane and derivatives thereof; and combinations thereof;
providing an oxygen source in a molecular amount less than a 1:1 ratio to the silicon precursor; and
forming the dielectric film on the at least one surface by a deposition process chosen from a chemical vapor deposition process and an atomic layer deposition process; and
subjecting the dielectric film to a high temperature spike anneal up to 1000° C., wherein the dielectric film comprises carbon and the carbon is not substantially associated with methyl substituents bonded to a silicon atom.
33. The dielectric film of claim 32 wherein the amount of carbon in the dielectric film does not substantially change after the dielectric film is subjected to a high temperature spike anneal up to 1000° C.
34. The dielectric film of claim 32 wherein the oxygen source comprises oxygen.
35. The dielectric film of claim 32 wherein the oxygen source comprises ozone.
US14/204,577 2009-03-13 2014-03-11 Dielectric Films Comprising Silicon And Methods For Making Same Abandoned US20140183706A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/204,577 US20140183706A1 (en) 2009-03-13 2014-03-11 Dielectric Films Comprising Silicon And Methods For Making Same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15993909P 2009-03-13 2009-03-13
US12/717,572 US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same
US14/204,577 US20140183706A1 (en) 2009-03-13 2014-03-11 Dielectric Films Comprising Silicon And Methods For Making Same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/717,572 Continuation US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same

Publications (1)

Publication Number Publication Date
US20140183706A1 true US20140183706A1 (en) 2014-07-03

Family

ID=42269962

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/717,572 Expired - Fee Related US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same
US14/204,577 Abandoned US20140183706A1 (en) 2009-03-13 2014-03-11 Dielectric Films Comprising Silicon And Methods For Making Same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/717,572 Expired - Fee Related US8703624B2 (en) 2009-03-13 2010-03-04 Dielectric films comprising silicon and methods for making same

Country Status (5)

Country Link
US (2) US8703624B2 (en)
EP (1) EP2228465B1 (en)
JP (2) JP2010219533A (en)
KR (1) KR101070953B1 (en)
TW (1) TWI466189B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8932676B2 (en) 2010-12-28 2015-01-13 Kirin Beer Kabushiki Kaisha Method for producing gas barrier plastic molded body
CN109860054A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 A kind of semiconductor structure and forming method thereof
WO2020242868A1 (en) * 2019-05-24 2020-12-03 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
SG191213A1 (en) * 2010-12-28 2013-07-31 Kirin Brewery Gas-barrier plastic molded product and manufacturing process therefor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6043546B2 (en) * 2011-10-21 2016-12-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI576242B (en) * 2011-12-28 2017-04-01 Kirin Brewery Gas barrier plastic molded body and manufacturing method thereof
US8753985B2 (en) 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
KR102029286B1 (en) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 Barrier materials for display devices
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102106885B1 (en) * 2013-03-15 2020-05-06 삼성전자 주식회사 Precursors for deposition of silicon dioxide film, and method for fabricating semiconductor device using the same
JP6155063B2 (en) * 2013-03-19 2017-06-28 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347548B2 (en) * 2014-09-08 2018-06-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
EP3460827B1 (en) * 2015-02-06 2022-05-25 Versum Materials US, LLC Compositions and methods using same for carbon doped silicon containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (en) * 2015-04-13 2018-06-22 上海华力微电子有限公司 The forming method on cobalt barrier layer and metal interconnection process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR102482618B1 (en) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
KR20190065962A (en) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
KR102617960B1 (en) 2019-08-12 2023-12-26 삼성전자주식회사 Method of Fabricating A Semiconductor Device Using 2-step Gap-fill Process
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
TW285753B (en) * 1995-01-04 1996-09-11 Air Prod & Chem
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6936537B2 (en) * 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
JP5324734B2 (en) 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Dielectric material and manufacturing method thereof
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080102206A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Multilayered coatings for use on electronic devices or other articles

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8932676B2 (en) 2010-12-28 2015-01-13 Kirin Beer Kabushiki Kaisha Method for producing gas barrier plastic molded body
CN109860054A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 A kind of semiconductor structure and forming method thereof
US11244823B2 (en) 2017-11-30 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US11715637B2 (en) 2017-11-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
WO2020242868A1 (en) * 2019-05-24 2020-12-03 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
TWI796567B (en) * 2019-05-24 2023-03-21 美商慧盛材料美國責任有限公司 Organosilicon precursors for deposition of silicon-containing films

Also Published As

Publication number Publication date
TW201034079A (en) 2010-09-16
KR101070953B1 (en) 2011-10-06
JP2010219533A (en) 2010-09-30
EP2228465A1 (en) 2010-09-15
JP2013225695A (en) 2013-10-31
US20100233886A1 (en) 2010-09-16
JP5650813B2 (en) 2015-01-07
EP2228465B1 (en) 2018-05-23
TWI466189B (en) 2014-12-21
KR20100103436A (en) 2010-09-27
US8703624B2 (en) 2014-04-22

Similar Documents

Publication Publication Date Title
US8703624B2 (en) Dielectric films comprising silicon and methods for making same
KR101950952B1 (en) Methods to prepare silicon-containing films
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
US9905415B2 (en) Methods for depositing silicon nitride films
US11626279B2 (en) Compositions and methods for making silicon containing films
EP2692897B1 (en) Methods of forming non-oxygen containing silicon-based films
TW202035430A (en) Compositions and methods using same for silicon containing films
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (en) Methods to prepare silicon-containing films

Legal Events

Date Code Title Description
AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, LIU;XIAO, MANCHAO;CUTHILL, KIRK SCOTT;AND OTHERS;SIGNING DATES FROM 20140910 TO 20141120;REEL/FRAME:034745/0427

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214