KR20190034356A - 표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법 - Google Patents

표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법 Download PDF

Info

Publication number
KR20190034356A
KR20190034356A KR1020197008382A KR20197008382A KR20190034356A KR 20190034356 A KR20190034356 A KR 20190034356A KR 1020197008382 A KR1020197008382 A KR 1020197008382A KR 20197008382 A KR20197008382 A KR 20197008382A KR 20190034356 A KR20190034356 A KR 20190034356A
Authority
KR
South Korea
Prior art keywords
branched
linear
group
plasma
silicon
Prior art date
Application number
KR1020197008382A
Other languages
English (en)
Other versions
KR102265826B1 (ko
Inventor
지안헝 리
레이몬드 니콜라스 브르티스
로버트 고든 리지웨이
만차오 샤오
신지안 레이
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20190034356A publication Critical patent/KR20190034356A/ko
Application granted granted Critical
Publication of KR102265826B1 publication Critical patent/KR102265826B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1896Compounds having one or more Si-O-acyl linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/61Additives non-macromolecular inorganic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

규소 함유 필름을 증착시키는 방법으로서, 적어도 하나의 표면 특징부를 포함하는 기판을 약 -20℃ 내지 약 400℃의 온도에 있는 유동성 CVD 반응기 내에 배치하는 단계; 반응기 내에 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물을 도입하여 적어도 하나의 규소 함유 화합물을 적어도 부분적으로 반응시켜 유동성 액체 올리고머를 형성하는 단계로서, 유동성 액체 올리고머는 기판 상에 산화규소 코팅을 형성하고 적어도 하나의 표면 특징부의 적어도 일부를 적어도 부분적으로 충전하는 단계를 포함하는 방법. 일단 경화되면, 산화규소 코팅은 낮은 k를 갖고 탁월한 기계적 특성을 갖는다.

Description

표면 특징부를 충전하기 위한 저-K 필름의 제조를 위한 전구체 및 유동성 CVD 방법
관련 출원의 상호 참조
본 출원은 2016년 8월 30일자로 출원된 가출원 번호 62/381,222 및 2017년 8월 18일자로 출원된 출원 번호 15/681,102를 우선권으로 주장하며, 이의 개시내용은 그 전문이 참고 인용된다.
배경
본원에는 전자 디바이스의 제작 공정이 기술된다. 더욱 구체적으로는, 본원에는 유동성 화학 증착 등의 증착 공정에서 규소 함유 필름을 형성하기 위한 조성물이 기술된다. 본원에 기술된 조성물 및 방법을 사용하여 증착될 수 있는 예시 규소 함유 필름은 산화규소, 질화규소, 산질화규소 또는 탄소 도핑된 산화규소 또는 탄소 도핑된 질화규소 필름을 포함한다.
유동성 산화물 증착 방법은 통상 제어된 가수분해 및 축합 반응에 의해 증착되는 규소 함유 필름의 전구체로서 알콕시실란 화합물을 사용한다. 이러한 필름은 기판 상에, 예를 들어 물 및 알콕시실란의 혼합물을, 경우에 따라 용매 및/또는 다른 첨가제, 예컨대 계면활성제 및 포로겐(porogen)와 함께, 기판 상에 적용함으로써 증착될 수 있다. 이러한 혼합물을 적용하기 위한 전형적 방법은 스핀 코팅, 딥 코팅, 스프레이 코팅, 스크린 인쇄, 공-응축, 및 잉크젯 인쇄를 포함한다. 기판에 적용 후 그리고 하나 이상의 에너지원, 예컨대 열, 플라즈마, 및/또는 기타 공급원 등을 적용 시, 혼합물 내의 물이 알콕시실란과 반응하여 알콕시드 및/또는 아릴옥시드 기를 가수분해하고 다른 가수분해된 분자와 더 축합되어 올리고머 또는 망상체 구조를 형성하는 실란올 종을 생성할 수 있다.
기판에 전구체를 물리적 증착 또는 적용하는 것 이외에, 유동성 유전체 증착(FCVD)을 위해 물 및 규소 함유 증기원을 사용하는 증착 공정은, 예를 들어 미국 특허 번호 7,541,297; 8,449,942; 8,629,067; 8,741,788; 8,481,403; 8,580,697; 8,685,867; 7,498,273; 7,074,690; 7,582,555; 7,888,233, 및 7,915,131, 그리고 미국 공개 번호 2013/0230987 A1에 기술된 바 있으며, 이의 개시내용은 본원에 참고 인용된다. 전형적 방법은 일반적으로 갭 내에 유동성 액체 필름을 형성하여 고체 유전 물질로 기판 상 갭을 충전하는 것에 관한 것이다. 유동성 필름은 Si-C 결합을 가질 수 있는 유전체 전구체와 산화제를 반응시켜 유전 물질을 형성함으로써 형성된다. 특정 실시양태에서, 유전체 전구체는 응축되고 이어서 산화제와 반응하여 유전 물질을 형성한다. 특정 실시양태에서, 증기상 반응물이 반응하여 응축된 유동성 필름을 형성한다. Si-C 결합은 물과의 반응에 대해 상대적으로 불활성이기 때문에, 생성된 망상체는 생성된 필름에 원하는 화학적 및 물리적 특성을 부여하는 유기 작용기와 유리하게 작용기화될 수 있다. 예를 들면, 망상체에 탄소를 첨가하는 것은 생성된 필름의 유전 상수를 낮출 수 있다.
유동성 화학 증착 공정을 사용하는 산화규소 필름을 증착시키는 또다른 접근법은 기상 중합이다. 예를 들면, 종래 기술은 추후 오존 노출을 사용하여 SiOx 필름으로 산화되는 Si, H, N 함유 올리고머를 증착시키는 데 트리실릴아민(TSA) 등의 화합물을 사용하는 것에 초점을 맞추었다. 그러한 접근법의 예시는 미국 공개 번호 2014/0073144; 미국 공개 번호 2013/230987; 미국 특허 번호 7,521,378, US 7,557,420, 및 8,575,040; 및 미국 특허 번호 7,825,040를 포함하고, 이의 개시 내용은 본원에 참고 인용된다.
트리실릴아민(TSA)을 사용하는 공정과 관련하여, TSA는 통상 반응 챔버 내에 기체로서 전달되고, 암모니아와 혼합되고, 원격 플라즈마 반응기에서 활성화되어 NH2, NH, H 및/또는 N 라디칼 또는 이온을 생성한다. TSA는 플라즈마 활성화된 암모니아와 반응하고 올리고머화하기 시작하여 고 분자량의 TSA 이량체 및 삼량체 또는 Si, N 및 H를 함유하는 다른 종을 형성한다. 기판을 반응기에 배치하고 특정 챔버 압력 및 TSA/활성화된 암모니아 혼합물에서 약 0-약 50℃ 범위의 하나 이상의 온도로 냉각하고 올리고머는 트랜치(trench) 표면 특징부를 충전하기 위해 "유동"할 수 있도록 웨이퍼 표면 상에서 응축되기 시작한다. 이러한 방식으로, Si, N 및 H를 함유하는 물질이 웨이퍼 상에 증착되고 트랜치를 충전한다. 특정 실시양태에서, 예비 어닐링 단계는 필름이 더욱 SiN 유사형이 되도록 수행된다. 다음 공정 단계는 오존 또는 물을 사용하는 100-700℃ 범위의 하나 이상의 온도에서의 산화이기 때문에 SiN 물질을 갖는 것이 바람직하다. SiN 결합의 거리 및 각도로 인해, SiN이 SiO2로 산화됨에 따라 필름이 수축하는 것을 방지하는 단위 셀 부피 증가가 있음이 공지된다.
유동성 화학 증착 및 다른 필름 증착 공정과 관련된 당업계에서의 최근 활동에도 불구하고, 문제점이 여전히 남아 있다. 이러한 문제점 중 하나는 필름 조성물과 관련된다. 예를 들면, 기상 중합 공정에서 전구체 트리실릴아민(TSA)으로부터 증착된 유동성 산화물 필름은 고밀도 Si-H 결합을 갖는 필름을 생성하고 고품질 열적 산화물보다 2.2-2.5배 더 빠른 묽은 HF 용액 중의 습식 에칭 속도를 갖는다. 이러한 필름은 저-K 필름 적용에 적당하지 않다.
많은 경우에, 열적 어닐링, UV 경화, 또는 이온/라디칼 치밀화를 비롯한 경화 공정은, 유동성 필름에 적용될 수 있다. 경화 공정은 탄소 기, 히드록실 기 및 더 작은 분자량 종을 증착된 물질로부터 제거할 수 있다. 도 1을 참조하면, 이는 종종 경화된 물질에 보이드, 크랙 또는 공간을 남긴다. 그러한 필름도 저-K 필름 적용에 적당하지 않다.
따라서, 저-k 산화규소 함유 필름 물질로서 성공적으로 기능하기 위해 기계적 완전성 및 다공성을 갖는 유동성 CVD 기법을 통한 규소 함유 필름을 제조하는 대안적인 전구체 화합물을 제공할 필요가 있다.
요약
본원에 기술된 조성물 또는 배합물 및 이를 사용하는 방법은 증착후 처리시 바람직한 필름 특성을 제공하는 기판 표면의 적어도 일부 상에 규소 함유 필름을 증착시킴으로써 종래 기술의 문제점을 극복한다. 본 발명은 i) 약 2 내지 약 15 GPa, 약 4 내지 약 12 GPa, 일부 경우에, 약 6 내지 약 10 GPa의 영률(Young's Modulus)의 측면에서의 기계적 완전성, ii) 약 10 내지 약 30 부피%, 약 12 내지 약 25 부피%, 일부 경우에, 약 16 내지 약 22 부피%(예, 타원편광식 기공률측정법(ellipsometric porosimetry)에 의해 측정됨)의 다공도, 및 iii) 약 2.2 내지 약 3.0, 약 2.4 내지 약 2.8, 일부 경우에, 약 2.5 내지 약 2.7의 유전 상수를 갖는 규소 함유 필름을 제공할 수 있다.
일 양태에서, 본원에 기술된 본 발명은 규소 함유 필름을 증착시키는 방법으로서, 약 -20℃ 내지 약 400℃의 온도에 있는 반응기 내에 적어도 하나의 표면 특징부를 포함하는 기판을 배치하는 단계; 반응기 내에 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물을 도입하는 단계로서, 적어도 하나의 규소 함유 화합물은
I(a). (RCOO)mR1 nSiHp의 식을 갖는 아실옥시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아실옥시실란;
I(b). (RCOO)m(R2O)nSiHpR1 q의 식을 갖는 아실옥시알콕시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R2는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시알콕시실란; 및
I(c). (RCOO)m(R3R4NO)nSiHpR1 q의 식을 갖는 아실옥시아미녹시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; R4는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시아미녹시실란
으로 이루어진 군에서 선택되는 것인 단계; 및 반응기에 플라즈마를 제공하여 적어도 하나의 규소 함유 화합물을 적어도 일부 반응시켜 유동성 액체 올리고머를 형성하는 단계로서, 유동성 액체 올리고머는 기판 상에 코팅을 형성하고 적어도 하나의 표면 특징부 중 적어도 일부를 적어도 부분적으로 충전하는 것인 단계를 포함하는 방법을 제공한다.
또다른 양태에서, 본 발명의 방법은 코팅에 약 100℃ 내지 약 1000℃의 하나 이상의 온도에서 열 처리를 실시하여 코팅의 적어도 일부를 치밀화하고 경화된 층을 형성하는 단계를 추가로 포함한다.
또다른 양태에 있어서, 본 발명의 방법은 경화된 층을 플라즈마, 적외선, 화학 처리, 전자빔, 또는 UV 광으로 이루어진 군에서 선택된 에너지에 노출시켜 최종 규소 함유 필름을 형성하는 단계를 추가로 포함한다.
본 발명의 또다른 양태는 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물을 포함하는 전구체 조성물로서, 적어도 하나의 규소 함유 화합물은
I(a). (RCOO)mR1 nSiHp의 식을 갖는 아실옥시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아실옥시실란;
I(b). (RCOO)m(R2O)nSiHpR1 q의 식을 갖는 아실옥시알콕시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R2는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시알콕시실란; 및
I(c). (RCOO)m(R3R4NO)nSiHpR1 q의 식을 갖는 아실옥시아미녹시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; R4는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시아미녹시실란
으로 이루어진 군에서 선택되는 것인 전구체 조성물에 관한 것이다.
본 발명의 또다른 양태는 본 발명의 방법 및 조성물에 의해 얻은 필름에 관한 것이다.
본 발명의 기타 특징 및 장점은 예를 들어 본 발명의 원리를 설명하는 첨부된 도면과 관련하여 취해진 하기 바람직한 실시양태의 더욱 상세한 설명으로부터 명백할 것이다.
본 발명의 실시양태 및 특징부는 단독으로 또는 서로 조합하여 사용될 수 있다.
본 발명은 이하 첨부된 도면과 함께 설명되며, 동일한 부호는 동일한 요소를 나타낸다.
도 1은 경화 공정 동안 보이드가 형성된 기판의 트랜치에 형성되는 종래 기술의 산화규소 필름을 도시하는 SEM 현미경사진이다.
도 2는 O2에 의한 디아세톡시디메틸실란의 증착을 통해 본 발명의 공정에 따라 형성되는 산화규소 필름을 도시하는 SEM 현미경사진이다.
도 3은 본 발명의 공정에 따라 5분 동안 300℃에서 열적 어닐링 후 도 2의 산화규소 필름을 도시하는 SEM 현미경사진이다.
도 4는 본 발명의 공정에 따라 400℃에서 10분 동안 UV 노출 후 도 3의 산화규소 필름을 도시하는 SEM 현미경사진이다.
상세한 설명
후속하는 상세한 설명은 단지 바람직한 예시적 실시양태만을 제공하며, 본 발명의 범위, 적용예 또는는 구성을 제한하려는 의도가 아니다. 오히려, 바람직한 예시적 실시양태의 후속하는 상세한 설명은 당업자에게 본 발명의 바람직한 예시적 실시양태를 실시하기 위해 가능한 설명을 제공한다. 첨부된 청구범위에 제시된 바와 같이, 본 발명의 취지 및 범위로부터 벗어나는 일 없이 요소의 기능 및 배치에 다양한 변화가 이루어질 수 있다.
청구범위에서, 문자는 청구된 방법 단계(예, a, b, 및 c)를 식별하는 데 사용될 수 있다. 이러한 문자는 방법 단계를 참조하는 데 도움이 되도록 사용되고 청구된 단계가 수행되는 순서를 나타내려는 의도는 아니지만, 그러한 순서가 청구범위에 구체적으로 언급된 경우에만 해당된다.
본원에 기술된 조성물 또는 배합물 및 이를 사용하는 방법은 증착후 처리시 바람직한 필름 특성을 제공하는 기판 표면의 적어도 일부 상에 규소 함유 필름을 증착시킴으로써 종래 기술의 문제점을 극복한다.
본 발명은 반도체 얇은 필름 공정 기법에 관한 것이다. 다양한 디바이스 구조에 걸쳐 형태학적으로 적합한 유전체 필름의 품질을 개선하기 위한 방법 및 시스템이 기술된다. 더욱 구체적으로는, 본 발명의 실시양태는 높은 종횡비를 갖는 트랜치를 위한 보이드 불포함 갭 충전을 실현하기 위해 증가된 밀도를 갖는 산화규소 필름을 형성하는 방법 및 시스템을 제공한다. 예를 들면, 본 발명은 다른 적용예 중에서도 좁은 STI 트랜치를 충전하는 고 품질 산화규소 필름을 형성하는 데 적용된다.
따라서, 일 양태에서, 본 발명은 규소 함유 필름을 증착시키는 방법으로서, 약 -20℃ 내지 약 400℃의 온도에 있는 반응기 내에 적어도 하나의 표면 특징부를 포함하는 기판을 배치하는 단계; 반응기 내에 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물을 도입하는 단계로서, 적어도 하나의 규소 함유 화합물은
I(a). (RCOO)mR1 nSiHp의 식을 갖는 아실옥시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아실옥시실란;
I(b). (RCOO)m(R2O)nSiHpR1 q의 식을 갖는 아실옥시알콕시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R2는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시알콕시실란; 및
I(c). (RCOO)m(R3R4NO)nSiHpR1 q의 식을 갖는 아실옥시아미녹시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; R4는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시아미녹시실란
으로 이루어진 군에서 선택되는 것인 단계; 및 반응기에 플라즈마를 제공하여 적어도 하나의 규소 함유 화합물을 적어도 일부 반응시켜 유동성 액체 올리고머를 형성하는 단계로서, 유동성 액체 올리고머는 기판 상에 코팅을 형성하고 적어도 하나의 표면 특징부 중 적어도 일부를 적어도 부분적으로 충전하는 것인 단계를 포함하는 방법을 제공한다.
"유동성 액체 올리고머"란 폴리실록산이 FTIR을 통해 측정되는 증착 조건 하에서 유동성인 폴리실록산 물질을 의미한다. 경화 후, 유동성 액체 올리고머는 고체 탄소 도핑된 다공성 OSG를 형성한다.
규소 함유 필름은 질화규소, 탄화규소, 산화규소, 탄소 도핑된 질화규소, 산질화규소, 및 탄소 도핑된 산질화규소 필름으로 이루어진 군에서 선택된다. 조성물은 예비 혼합된 조성물, 예비 혼합물(증착 공정에 사용되기 전 혼합됨), 또는 동일계 혼합물(증착 공정 중에 혼합됨)일 수 있다. 따라서, 본 발명에서, 용어 "혼합물", "배합물", 및 "조성물"은 상호 혼용된다.
설명 전반에 걸쳐, 본원에 사용된 용어 "산화규소"는 화학량론적 또는 비-화학량론적 산화규소, 탄소 도핑된 산화규소, 카르복시질화규소 및 이의 혼합물로 이루어진 군에서 선택된 규소 및 산소를 포함하는 필름을 나타낸다.
본 발명의 방법에서, 통상, 제1 단계는 약 -20℃ 내지 약 400℃의 온도에 있는 반응기 내에 적어도 하나의 표면 특징부를 포함하는 기판을 배치하는 것이다. 적당한 기판은, 제한 없이, 반도체 물질, 예컨대 갈륨 비소("GaAs"), 질화붕소("BN") 규소, 및 규소 함유 조성물, 예컨대 결정질 규소, 폴리실리콘, 비정질 규소, 에피택셜 규소, 이산화규소("SiO2"), 탄화규소("SiC"), 옥시탄화규소("SiOC"), 질화규소("SiN"), 탄화질화규소("SiCN"), 유기실리케이트 유리("OSG"), 유기플루오로실리케이트 유리("OFSG"), 플루오로실리케이트 유리("FSG"), 및 다른 적절한 기판 또는 이의 혼합물을 포함한다. 기판은 필름이 적용되는 각종 층, 예를 들어 반사방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 또는 확산 장벽 층, 예컨대 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN, TiSiN, TaSiN, SiCN, TiSiCN, TaSiCN, 또는 W(C)N 등을 추가로 포함할 수 있다. 본 발명의 유기실리케이트 유리 필름은 바람직하게는 기존의 풀 테스트(pull test), 예컨대 ASTM D3359-95a 테이프 풀 테스트를 통과하기에 충분한 상기 물질 중 적어도 하나에 접착될 수 있다.
일부 실시양태에서, 기판은 단결정 규소 웨이퍼, 탄화규소 웨이퍼, 산화알루미늄 웨이퍼(사파이어), 유리 시트, 금속 호일, 유기 폴리머 필름일 수 있거나 또는 폴리머, 유리, 규소 또는 금속성 3차원 물품일 수 있다. 기판은 산화규소, 질화규소, 비정질 탄소, 옥시탄화규소, 산질화규소, 탄화규소, 갈륨 비소, 질화갈륨 등의 필름을 포함한 당업계에 잘 공지된 각종 물질로 코팅될 수 있다. 이러한 코팅은 기판을 완전하게 코팅할 수 있고, 다양한 물질의 다중 층일 수 있고, 물질의 하부 층을 노출시키도록 부분적으로 에칭될 수 있다. 표면은 또한 패턴으로 노출되고 기판을 부분적으로 코팅하도록 현상된 포토레지스트 물질을 그 위에 가질 수 있다.
일부 실시양태에서, 기판은 표면 특징부를 포함한다. 본원에 사용된 용어 "표면 특징부"는 하기 기공, 트랜치, 얕은 트랜치 단리(STI), 비아, 요각 특징부 등 중 하나 이상을 포함하는 기판 또는 부분적으로 제작된 기판을 의미한다. 특정 일 실시양태에서, 표면 특징부(들)는 100 μm 이하의 폭, 1 μm 이하의 폭, 또는 0.5 μm 이하의 폭, 또는 50 nm 이하의 폭을 갖는다. 이러한 또는 다른 실시양태에서, 표면 특징부의 종횡비(깊이 대 폭의 비율)는, 존재하는 경우, 0.1:1 이상, 또는 1:1 이상, 또는 10:1 이상, 또는 20:1 이상, 또는 40:1 이상이다.
본원에 기술된 필름 또는 코팅을 형성하는 데 사용되는 방법은 유동성 화학 증착 공정이다. 본원에 개시된 방법에 적당한 증착 공정의 예시는, 제한 없이, 열적 화학 증착(CVD) 또는 플라즈마 개선된 시클릭 CVD(PECCVD) 공정을 포함한다. 예시적 유동성 CVD 반응기가 미국 공개 번호 2014/0073144에 개시되며; 이에 의해 참고 인용된다. 본원에 사용된 바와 같이, 용어 "유동성 화학 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되고, 기판 표면 상에서 반응 및/또는 분해되어 유동성 올리고머 규소 함유 종을 제공한 다음 추가 처리시 고체 필름 또는 물질을 생성하는 임의의 공정을 나타낸다. 본원에 사용된 전구체, 시약 및 공급원이 때때로 "기상"으로서 기술될 수 있지만, 전구체는 직접 기화, 버블링 또는 승화를 통해 반응기 내로 불활성 기체와 함께 또는 불활성 기체 없이 운반되는 액체 또는 고체일 수 있다는 것으로 이해한다. 일부 경우에, 기화된 전구체는 플라즈마 발생기를 통과할 수 있다. 일 실시양태에서, 필름은 플라즈마 기반(예, 원격 발생 또는 동일계) CVD 공정을 이용하여 증착된다. 본원에 사용된 용어 "반응기"는, 제한 없이, 반응 챔버 또는 증착 챔버를 포함한다.
특정 실시양태에서, 기판은 필름의 하나 이상의 특성에 영향을 미치기 위해 하나 이상의 예비 증착 처리, 비제한적 예로서, 플라즈마 처리, 열 처리, 화학 처리, 자외선 노출, 전자빔 노출, 및 이의 조합에 노출될 수 있다. 이러한 예비 증착 처리는 불활성, 산화, 및/또는 환원에서 선택된 분위기 하에 일어날 수 있다
본원에 사용된 화학 시약이 때때로 "기상"으로서 기술될 수 있지만, 화학 시약은 반응기에 기체로서 직접 전달되고/되거나, 담체 기체, 예컨대 질소, 헬륨 또는 아르곤을 사용하여 기화 액체 또는 버블링 액체로부터의 증기, 승화 고체로부터의 증기로서 전달되고/되거나, 불활성 담체 기체에 의해 반응기로 운반될 수 있다는 것으로 이해된다.
본 발명의 방법은 반응기 내로 적어도 하나의 아세톡시 기를 갖는 규소 함유 화합물(본원에서 "전구체"로도 지칭됨)을 도입하는 단계로서, 적어도 하나의 제2 화합물은 하기 식 I(a) 내지 I(c)로 이루어진 군에서 선택되는 것인 단계를 포함한다:
I(a). (RCOO)mR1 nSiHp의 식을 갖는 아세톡시실란으로서, R 및 R1은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아세톡시실란;
I(b). (RCOO)m(R1O)nSiHp의 식을 갖는 아세톡시알콕시실란으로서, R 및 R1은 독립적으로 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아세톡시알콕시실란; 및
I(c). (RCOO)m(R2R3NO)nSiHp의 식을 갖는 아세톡시아미녹시실란으로서, R은 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R2는 수소, 분지형 C1 내지 C10 알킬 기, 및 C4 내지 C10 아릴 기에서 선택되고; R3은 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아세톡시아미녹시실란.
상기 식 및 설명 전반에서, 용어 "선형 알킬"은 1 내지 10, 3 내지 10, 또는 1 내지 6개의 탄소 원자를 갖는 선형 작용기를 나타낸다. 상기 식 및 설명 전반에서, 용어 "분지형 알킬"은 3 내지 10, 또는 1 내지 6개의 탄소 원자를 갖는 선형 작용기를 나타낸다. 예시적 선형 알킬 기는, 제한 없이, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함한다. 예시적 분지형 알킬 기는, 제한 없이, 이소프로필, 이소부틸, sec-부틸, tert-부틸, 이소-펜틸, tert-펜틸, 이소헥실, 및 네오헥실을 포함한다. 특정 실시양태에서, 알킬 기는 이에 결합된 하나 이상의 작용기, 비제한적 예로서, 알콕시 기, 디알킬아미노 기 또는 이의 조합을 가질 수 있다. 다른 실시양태에서, 알킬 기는 이에 결합된 하나 이상의 작용기를 갖지 않는다. 알킬 기는 포화되거나 또는 대안적으로 불포화될 수 있다.
상기 식 및 설명 전반에서, 용어 "아릴"은 3-10개의 탄소 원자, 5-10개의 탄소 원자, 또는 6-10개의 탄소 원자를 갖는 방향족 시클릭 작용기를 나타낸다. 예시적 아릴 기는, 제한 없이, 페닐, 벤질, 클로로벤질, 톨릴, 및 o-크실릴을 포함한다.
상기 식 및 설명 전반에서, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 갖고 2 내지 12개, 2 내지 10개, 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다. 예시적 알케닐 기는, 제한 없이, 비닐 또는 알릴 기를 포함한다.
용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 갖고 2 내지 10개 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다. 예시적 알케닐 기는, 제한 없이, 에티닐을 포함한다.
특정 실시양태에서, 식에서 알킬 기 또는 아릴 기 중 하나 이상은 "치환될" 수 있거나 또는 수소 원자 등 대신에 치환된 하나 이상의 원자 또는 원자 군을 가질 수 있다. 예시적 치환기는, 제한 없이, 산소, 황, 할로겐 원자(예, F, Cl, I, 또는 Br), 질소, 알킬 기, 및 인을 포함한다. 다른 실시양태에서, 식에서 알킬 기, 알케닐 기, 알키닐 기, 방향족 및/또는 아릴 기 중 하나 이상은 비치환될 수 있다.
특정 실시양태에서, 상기 기술된 식에서 치환기 R1, R2, 및 R3 중 임의의 하나 이상은 수소가 아닌 경우 상기 식의 C-C 결합과 연결되어 고리 구조를 형성할 수 있다. 당업자에게 이해되는 바와 같이, 치환기는 선형 또는 분지형 C1 내지 C10 알킬렌 모이어티; C2  내지 C12 알케닐렌 모이어티; C2 내지 C12 알키닐렌 모이어티; C4 내지 C10 시클릭 알킬 모이어티; 및 C6 내지 C10 아릴렌 모이어티에서 선택될 수 있다. 이러한 실시양태에서, 고리 구조는 불포화, 예컨대 시클릭 알킬 고리 등, 또는 포화, 예컨대 아릴 고리일 수 있다. 추가로, 이러한 실시양태에서, 고리 구조는 또한 치환되거나 치환될 수 있다. 다른 실시양태에서, 치환기 R1, R2 및 R3 중 임의의 하나 이상은 연결되지 않는다.
규소 함유 전구체가 식 I(a)를 갖는 화합물을 포함하는 실시양태에서, 전구체의 예는 하기를 포함한다:
Figure pct00001
식 I(a)의 화합물의 예는 디아세톡시디메틸실란, 디아세톡시메틸실란, 트리아세톡시메틸실란, 디아세톡시디비닐실란, 디아세톡시메틸비닐실란, 트리아세톡시비닐실란, 디아세톡시디에티닐실란, 디아세톡시메틸에티닐실란, 및 트리아세톡시에티닐실란을 포함한다.
규소 함유 전구체가 식 I(b)를 갖는 화합물을 포함하는 실시양태에서, 전구체의 예는 하기를 포함한다:
Figure pct00002
식 I(b)의 화합물의 예는 디아세톡시메톡시메틸실란, 디아세톡시디메톡시실란, 및 트리아세톡시메톡시실란을 포함한다.
규소 함유 전구체가 식 I(c)를 갖는 화합물을 포함하는 실시양태에서,
Figure pct00003
식 I(c)의 화합물의 예는 디아세톡시디메틸아미녹시메틸실란, 디아세톡시디(메틸에틸)아미녹시메틸실란, 및 디아세톡시디에틸아미녹시메틸실란을 포함한다.
본원에 기술된 규소 함유 전구체 화합물은 각종 방식으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기로 전달될 수 있다. 일 실시양태에서, 액체 전달 시스템이 이용될 수 있다. 대안적 실시양태에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대 MSP Corporation(미국 미네소타주 소어뷰 소재)에 의해 제조된 터보 기화기 등이 사용되어, 저 휘발성 물질이 용적측정으로 전달될 수 있고, 이는 전구체의 열 분해 없이 재현가능한 수송 및 증착을 유도한다. 액체 전달 배합물에서, 본원에 기술된 전구체는 순수 액체 형태로 전달될 수 있거나, 또는 대안적으로, 이를 포함한 용매 배합물 또는 조성물로 사용될 수 있다. 따라서, 특정 실시양태에서, 전구체 배합물은 기판 상에 필름을 형성하기 위한 소정의 최종 용도 적용예에서 바람직하고 유리할 수 있는 적당한 특성의 용매 성분(들)을 포함할 수 있다.
규소 함유 전구체 화합물은 바람직하게는 할라이드 이온, 예컨대 클로라이드 또는 금속 이온, 예컨대 Al을 실질적으로 포함하지 않는다. 본원에 사용된 바와 같이, 할라이드 이온 (또는 할라이드) 또는 금속 이온, 예컨대, 클로라이드, 플루오라이드, 브로마이드, 요오다이드, Al3+ 이온, Fe2+, Fe3+, Ni2+, Cr3+ 등과 관련하여 용어 "실질적으로 포함하지 않는"은 (중량 기준) 5 ppm 미만, 바람직하게는 3 ppm 미만, 더욱 바람직하게는 1 ppm 미만, 가장 바람직하게는 0 ppm의 각 할라이드 또는 금속 이온을 의미한다. 클로라이드 또는 금속 이온은 규소 전구체의 분해 촉매로서 작용하는 것으로 공지되어 있다. 최종 생성물 내 심각한 수준의 클로라이드는 규소 전구체를 열화시킬 수 있다. 규소 전구체의 점차적 열화는 필름 증착 공정에 직접적으로 영향을 미쳐서 반도체 제조업체가 필름 규격을 충족시키기에 어려울 수 있다. 또한, 저장 수명 또는 안정성이 규소 전구체의 더 높은 열화 속도에 의해 부정적으로 영향을 받아서 1-2년의 저장 수명을 보장하기가 어렵다. 또한, 규소 전구체는 수소 및 실란 등의 분해시 인화성 및/또는 자연발화성 기체를 형성하는 것으로 공지되어 있다. 본 발명의 전구체 화합물을 포함하는 조성물은 이러한 분해 생성물을 실질적으로 포함하지 않는다. 따라서, 규소 함유 전구체의 가속 분해는 인화성 및/또는 자연발화성 기상 부산물의 형성과 관련된 안전성 및 성능 문제를 제시한다.
할라이드를 실질적으로 포함하지 않는 본 발명에 따른 규소 함유 전구체는 (1) 화학 합성 동안 클로라이드 공급원을 감소 또는 제거하고/하거나, (2) 최종 정제된 생성물이 클로라이드를 실질적으로 포함하지 않도록 미정제 생성물로부터 클로라이드를 제거하기에 효과적인 정제 공정을 실시하는 것에 의해 실현될 수 있다. 클로라이드 공급원은 할라이드, 예컨대 클로로디실란, 브로모디실란, 또는 요오도디실란을 함유하지 않는 시약을 사용하여 합성 동안 감소될 수 있어 할라이드 이온을 함유하는 부산물의 생성을 피할 수 있다. 또한, 전술된 시약은 생성된 미정제 생성물이 클로라이드 불순물을 실질적으로 포함하지 않도록 클로라이드 불순물을 실질적으로 포함하지 않아야 한다. 유사한 방식으로, 합성은 할라이드 기반 용매, 촉매, 또는 허용할 수 없을 정도로 높은 수준의 할라이드 오염을 함유하는 용매를 사용하지 않아야 한다. 미정제 생성물은 또한 다양한 정제 방법에 의해 클로라이드 등의 할라이드가 실질적으로 포함되지 않는 최종 생성물이 되도록 처리될 수 있다. 이러한 방법은 종래 기술 방법에 잘 기술되어 있고, 비제한적으로, 증류 또는 흡착과 같은 정제 공정을 포함할 수 있다. 증류는 비점의 차이를 이용하여 원하는 생성물로부터 불순물을 분리하는 데 통상 사용된다. 흡착은 또한 최종 생성물이 실질적으로 할라이드를 포함하지 않도록 분리를 수행하기 위해 성분의 차별적 흡착을 이용하는 데 사용될 수 있다. 흡착제, 예컨대 구입 가능한 MgO-Al2O3 블렌드 등이 클로라이드 등의 할라이드를 제거하는 데 사용될 수 있다.
본원에 기술된 용매(들) 및 적어도 하나의 규소 함유 화합물을 포함하는 조성물과 관련된 실시양태의 경우, 선택된 용매 또는 이의 혼합물은 규소 화합물과 반응하지 않는다. 조성물에서 중량 백분율 기준 용매의 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 또는 다른 실시양태에서, 용매는 식 I(a), I(b), 및 I(c)의 전구체의 비점(b.p.)과 유사한 b.p.를 갖거나 또는 용매의 b.p.와 식 I(a), I(b), 및 I(c)의 규소 전구체 전구체의 b.p.간의 차이는 40℃ 이하, 30℃ 이하, 또는 20℃ 이하, 10℃ 이하, 또는 5℃ 이하이다. 대안적으로, 비점 간 차이는 하기 종점 중 임의의 하나 이상의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적당한 범위의 예는, 제한 없이, 0℃ 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물로 적당한 용매의 예는, 제한 없이, 에테르(예, 1,4-디옥산, 디부틸 에테르), 3차 아민(예, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예, 벤조니트릴), 알킬 탄화수소(예, 옥탄, 노난, 도데칸, 에틸시클로헥산), 방향족 탄화수소(예, 톨루엔, 메시틸렌), 3차 아미노에테르(예, 비스(2-디메틸아미노에틸) 에테르), 또는 이의 혼합물을 포함한다.
특정 일 실시양태에서, 적어도 하나의 규소 함유 화합물이 반응기 내로 도입되는 도입 단계는 -20℃ 내지 1000℃, 또는 약 400℃ 내지 약 1000℃, 또는 약 400℃ 내지 약 600℃, 또는 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도에서 실시된다. 이러한 또는 다른 실시양태에서, 기판은 표면 특징부를 포함한 반도체 기판을 포함한다.
본 발명의 방법은 동일계 플라즈마 또는 원격 플라즈마 공급원을 제공하여 적어도 하나의 규소 함유 화합물을 적어도 부분적으로 반응시켜 유동성 액체 올리고머를 형성하는 단계로서, 유동성 액체 올리고머는 기판 상에 코팅을 형성하고 적어도 하나의 표면 특징부의 적어도 일부를 적어도 부분적으로 충전하는 것인 단계를 포함한다. 에너지는 적어도 하나의 규소 함유 화합물, 질소 함유 공급원(사용하는 경우), 산소 공급원, 다른 전구체 또는 이의 조합에 적용되어 반응을 유도하고 기판 상에 규소 함유 필름 또는 코팅을 형성한다. 이러한 에너지는, 제한 없이, 열, 플라즈마, 펄스된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 커플링된 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이의 조합에 의해 제공될 수 있다. 특정 실시양태에서, 2차 RF 주파수 공급원은 기판 표면에서 플라즈마 특성을 개질하는 데 사용될 수 있다. 증착이 플라즈마를 수반하는 실시양태에서, 플라즈마 생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마 생성 공정, 또는 대안적으로 플라즈마가 반응기 외부에서 생성되어 반응기 내로 공급되는 원격 플라즈마 생성 공정을 포함할 수 있다.
전구체 대 산소 또는 질소 함유 공급원의 부피 유동 비율은 약 40:1 내지 약 0.2:1, 약 20:1 내지 약 1:1, 일부 경우에, 약 6:1 내지 약 2:1의 범위일 수 있다. 본 발명의 일 실시양태에서, 조성물은 본 발명의 규소 함유 전구체, 및 적어도 하나의 산소 또는 질소 함유 공급원을 포함한다. 본 발명의 또다른 실시양태에서, 조성물은 본 발명의 전구체 및 적어도 하나의 산소 또는 질소 함유 공급원으로부터 얻은 올리고머를 포함한다.
특정 일 실시양태에서, 플라즈마는, 제한 없이, 질소 플라즈마; 질소 및 헬륨을 포함하는 플라즈마; 질소 및 아르곤을 포함하는 플라즈마; 암모니아 플라즈마; 암모니아 및 헬륨을 포함하는 플라즈마; 암모니아 및 아르곤을 포함하는 플라즈마; 헬륨 플라즈마; 아르곤 플라즈마; 수소 플라즈마; 수소 및 헬륨을 포함하는 플라즈마; 수소 및 아르곤을 포함하는 플라즈마; 암모니아 및 수소를 포함하는 플라즈마; 유기 아민 플라즈마; 산소를 포함하는 플라즈마; 산소 및 수소를 포함하는 플라즈마, 및 이의 혼합물로 이루어진 군에서 선택된다.
또다른 실시양태에서, 플라즈마 공급원은, 제한 없이, 탄화수소 플라즈마, 탄화수소 및 헬륨을 포함하는 플라즈마, 탄화수소 및 아르곤을 포함하는 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 탄화수소 및 수소를 포함하는 플라즈마, 탄화수소 및 질소 공급원을 포함하는 플라즈마, 탄화수소 및 산소 공급원을 포함하는 플라즈마, 및 이의 혼합물을 포함한 탄소 공급원 플라즈마로 이루어진 군에서 선택된다.
앞서 언급된 바와 같이, 방법은 표면 특징부를 포함하는 기판의 표면 중 적어도 일부 상에 필름을 증착시킨다. 기판을 반응기 내에 배치하고 기판을 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도에서 유지한다. 특정 일 실시양태에서, 기판의 온도는 챔버의 벽보다 낮다. 기판 온도는 100℃ 미만의 온도, 바람직하게는 25℃ 미만의 온도, 가장 바람직하게는 10℃ 미만 및 -20℃ 초과의 온도에서 유지된다.
특정 실시양태에서, 반응기는 대기압 미만 또는 750 torr (105 파스칼(Pa)) 이하, 또는 100 torr(13332 Pa) 이하의 압력에 있다. 다른 실시양태에서, 반응기의 압력은 약 0.1 torr(13 Pa) 내지 약 10 torr(1333 Pa)의 범위에서 유지된다.
플라즈마 에너지의 존재 하에, 규소 함유 화합물은 서로와 반응하고 기판의 표면 상에 액체로서 응축되는 올리고머(액체 올리고머)를 형성하고 기판 상의 특징부를 적어도 부분적으로 충전한다. 하지만, 증착된 그대로의 필름의 직접 사용은 너무 다공성이고 충분한 기계적 강도를 갖지 못하는 유전체를 초래할 수 있다. 따라서, 본 발명의 특정 실시양태를 적용하여 증착된 그대로의 산화규소 층의 추가 처리를 수행함으로써 증가된 밀도로 필름 품질을 향상시키고 여전히 보이드 불포함 갭 충전을 달성한다. "보이드 불포함"이란 증착되고 경화된 필름의 SEM 또는 TEM을 관찰하여 얻은 시각적 결정을 의미한다.
바람직한 실시양태에서, 유동성 액체 올리고머를 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 열적으로 어닐링하여 물질의 적어도 일부를 치밀화한 다음 100℃ 내지 1000℃ 범위의 온도에서 광대역 UV 처리하였다.
보이드화 형성을 방지하기 위해, 처리 동안 가교결합이 필요하다. 예를 들면, 디아세톡시디메틸실란이 가열되는 경우, 아세트산 무수물 분자는 손실되고 Si-O-Si 결합이 형성된다. 아세트산 무수물 분자의 손실은 나노규모 기공의 생성을 유도한다. 각 규소 원자 상에 2개의 아세톡시 기가 존재하기 때문에, 가교결합의 형성으로 장쇄가 유도된다. 3-D 가교결합을 생성하기 위해, 3개의 아세톡시 작용기를 가진 전구체가 필요하다. 다른 실시양태에서, 산화제(O2 또는 CO2)는 바람직하게는 첨가되어 3-D 가교결합을 생성한다. 필름 밀도는 통상 산화규소 또는 탄소 도핑된 산화규소의 경우 1.5 내지 2.0 g/cm3 범위이고 질화규소 또는 탄소 도핑된 질화규소의 경우 1.8 내지 2.8 g/cm3 범위이다. 따라서, 이러한 필름은 저-k 물질 적용예로서 사용하기에 적당하다. 실현되는 유전 상수 k는 통상 탄소 도핑된 산화규소의 경우 2.5 내지 2.8, 또는 2.5 내지 3.0의 범위이다.
특정 실시양태에서, 생성된 규소 함유 필름 또는 코팅은 증착후 처리, 비제한적으로, 수소 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 암모니아 플라즈마, 물(H2O) 플라즈마, 산소 플라즈마, 오존(O3) 플라즈마, NO 플라즈마, N2O 플라즈마, 일산화탄소(CO) 플라즈마, 이산화탄소(CO2) 플라즈마 및 이의 조합을 제한 없이 포함하는 플라즈마 처리, 화학 처리, 자외선 노출, 적외선 노출, 전자빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출될 수 있다.
일부 실시양태에서, 열 후처리 물질은 플라즈마, 적외선, 화학 처리, 전자빔, 또는 UV 광에 노출되어 치밀한 필름을 형성한다.
상기 단계들이 본원에 기술된 방법을 위한 하나의 사이클을 규정하고; 그 사이클을 규소 함유 필름의 원하는 두께가 얻어질 때까지 반복할 수 있다. 이러한 또는 다른 실시양태에서, 본원에 기술된 방법의 단계는 각종 순서로 수행될 수 있고, 순차적으로 또는 동시에(예, 또다른 단계의 적어도 일부 중에) 및 이의 임의의 조합으로 수행될 수 있음이 이해된다. 화합물 및 다른 시약을 공급하는 각각의 단계는 공급 시간의 지속 시간을 변화시켜 생성된 규소 함유 필름의 화학량론적 조성을 변화시킴으로써 수행될 수 있다.
본 발명의 일 실시양태에서, 하기 필름 또는 특징부 중 적어도 하나는 본 발명의 규소 함유 필름 상에 형성 또는 증착될 수 있다: i) 평탄화 실시, ii) 구리 (예, 비아를 충전하기 위해), 및 iii) 유전체 필름. 일 양태에서, 본 발명은 본 발명의 필름(예, 탄소 도핑된 산화규소)이 증착되는 적어도 하나의 특징부(예, 비아 또는 트랜치)를 갖는 패턴화 구조를 포함한 기판, 및 본 발명의 필름 상에 증착되는 장벽 층(예, 적어도 하나의 코발트, 탄화질화규소, 질화규소, 산질화탄소, TiN 및 TaN)을 포함한 필름을 포함한다.
하기 실시예는 본 발명을 추가로 예시하는 목적으로 제공되지만 이를 제한하려는 의도가 아니다.
실시예
유동성 화학 증착(FCVD) 필름을 중간 저항률(8-12 Ωcm) 단결정 규소 웨이퍼 기판 및 Si 패턴 웨이퍼 상에 증착시켰다. 특정 예에서, 생성된 규소 함유 필름 또는 코팅을, 예비 증착 처리, 비제한적으로, 플라즈마 처리, 열 처리, 화학 처리, 자외선 노출, 적외선 노출, 전자빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출시킬 수 있다.
실란 또는 TEOS 공정 키트를 사용하여 Applied Materials Precision 5000 시스템 상 개조된 FCVD 챔버 상에서 증착을 수행할 수 있다. 챔버는 직접 액체 주입(DLI) 전달능을 갖는다. 전구체는 전구체의 비점에 의존하는 전달 온도를 갖는 액체이다.
초기 유동성 탄소 도핑된 산화물 필름을 증착시키기 위해, 전형적 액체 전구체 유량은 100-5000 mg/분이고, 산소 (또는 대안적으로 이산화탄소) 유량은 20-40 sccm이고, 동일계 플라즈마 전력 밀도는 0.25 - 3.5 W/cm2이고, 압력은 0.75 - 12 Torr였다. 증착된 그대로의 유동성 필름을 치밀화하기 위해, 개조된 PECVD 챔버를 사용하여 100-1000℃, 바람직하게는 300~400℃에서 필름을 열적으로 어닐링하고/하거나 진공 하에 UV 경화시켰다. 632 nm에서의 두께 및 굴절률(RI)을 SCI 반사율측정기 또는 Woollam 타원편광분석기에 의해 측정하였다. 전형적 필름 두께는 10 내지 2000 nm 범위였다. 규소계 필름의 결합 특성 및 수소 함량(Si-H, C-H 및 N-H)을, Nicolet 투과 푸리에 변환 적외선 분광기(FTIR) 툴에 의해 측정하고 분석하였다. 모든 밀도 측정은 X-선 반사율(XRR)을 사용하여 달성되었다. X-선 광전자 분광기(XPS) 및 2차 이온 질량 분석기(SIMS) 분석을 수행하여 필름의 원소 조성을 측정하였다. 패턴화된 웨이퍼 상의 유동성 및 갭 충전 효과는 2.0 nm의 해상도에서 Hitachi S-4800 시스템을 사용하여 단면 주사 전자 현미경(SEM)에 의해 관찰되었다. 필름의 다공성을 타원편광식 기공률측정법에 의해 측정하였다.
실험 계획(DOE) 방법론을 사용하여 유동성 CVD 증착을 실시하였다. 실험 계획은 100 내지 5000 mg/분, 바람직하게는 500 내지 2000 mg/분의 전구체 유동; 0 sccm 내지 1000 sccm, 바람직하게는 0 내지 100 sccm의 산소 (또는 CO2) 유동; 0.75 내지 12 Torr, 바람직하게는 6 내지 10 Torr의 압력; 50 내지 1000 W, 바람직하게는 100 내지 500 W의 RF 전력(13.56 MHz); 0 내지 100 W의 저 주파수(LF) 전력; 및 -20 내지 400℃, 바람직하게는 -20℃ 내지 40℃ 범위의 증착 온도를 포함한다. DOE 실험은 어떤 공정 파라미터가 우수한 유동성을 갖는 최적의 필름을 생성하는지 측정하는 데 사용되었다.
전구체로서 디아세톡시디메틸실란을 가진 저-K 필름의 증착
이러한 실험에서, 가장 유리한 필름 특성을 가진 유동성 다공성 저-K 필름을 증착시키는 데 사용되는 공정 조건은 다음과 같다: 전력 = 200 W, 간격 = 200 mils, 압력 = 6 내지 10 Torr, 온도 = 30 내지 35℃, 디아세톡시디메틸실란 = 1500 내지 2000 mg/분, He = 200 sccm, O2 = 40~60 sccm. 유동성 필름을 5분 동안 300℃에서 열적으로 어닐링한 다음, 10분 동안 400℃ UV 경화시켰다.
블랭킷 기판 상에서 1.37의 RI 및 2.6 내지 2.7의 k를 가진 필름을 얻었다. 필름의 다공성은 19 내지 20%였다. 8 Torr의 처리 압력에 있어서, 필름의 탄성계수는 10.4 GPa이고; 경도는 1.84 GPa이었다. 탄성계수 및 경도는 기존의 PECVD 다공성 저-K 필름과 일치하였다.
이제 도 2를 참조하면, 도 2에는 단면 SEM이 O2에 의한 디아세톡시디메틸실란의 증착에 의해 우수한 갭 충전을 실현하였음을 나타내는 것을 도시한다. 이제 도 3을 참조하면, 도 3에는 300℃에서 5분 동안 열적으로 어닐링되고 400℃에서 10분 동안 UV 경화된(도 4) 도 2의 필름의 단면 SEM을 도시한다. 이제 도 4를 참조하면, 도 4는 400℃에서 10분 동안 UV 노광 후 도 3의 산화규소 필름을 도시하는 SEM 현미경사진이다.
본 발명의 원리가 바람직한 실시양태와 관련하여 상기 기술되었지만, 당업자라면 이러한 설명이 단지 예로서 이루어지고 본 발명의 범위를 제한하는 것이 아니라는 것을 명확하게 이해한다.

Claims (17)

  1. 규소 함유 필름을 증착시키는 방법으로서,
    적어도 하나의 표면 특징부를 포함하는 기판을 약 -20℃ 내지 약 400℃의 온도에 있는 반응기 내에 배치하는 단계;
    반응기 내에 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물을 도입하는 단계로서, 적어도 하나의 규소 함유 화합물은
    I(a). (RCOO)mR1 nSiHp의 식을 갖는 아실옥시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아실옥시실란;
    I(b). (RCOO)m(R2O)nSiHpR1 q의 식을 갖는 아실옥시알콕시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R2는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시알콕시실란; 및
    I(c). (RCOO)m(R3R4NO)nSiHpR1 q의 식을 갖는 아실옥시아미녹시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; R4는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시아미녹시실란
    으로 이루어진 군에서 선택되는 것인 단계; 및
    동일계 플라즈마 또는 원격 플라즈마 공급원을 반응기에 제공하여 적어도 하나의 규소 함유 화합물을 적어도 부분적으로 반응시켜 유동성 액체 올리고머를 형성하는 단계로서, 유동성 액체 올리고머는 기판 상에 코팅을 형성하고 적어도 하나의 표면 특징부의 적어도 일부를 적어도 부분적으로 충전하는 것인 단계
    를 포함하는, 규소 함유 필름을 증착시키는 방법.
  2. 제1항에 있어서, 플라즈마는 질소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 질소 및 헬륨을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 질소 및 아르곤을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 암모니아를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 암모니아 및 헬륨을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 암모니아 및 아르곤을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 수소 플라즈마, 수소 및 헬륨을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 수소 및 아르곤을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 암모니아 및 수소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 동일계 또는 원격 플라즈마 공급원 기반 유기 아민 플라즈마, 산소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 산소 및 수소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 및 이의 혼합물로 이루어진 군에서 선택되는 것인 방법.
  3. 제1항에 있어서, 플라즈마는 탄소 또는 탄화수소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 탄화수소 및 헬륨을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 탄화수소 및 아르곤을 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 이산화탄소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 일산화탄소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 탄화수소 및 수소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 탄화수소 및 질소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 탄화수소 및 산소를 포함하는 동일계 또는 원격 플라즈마 공급원 기반 플라즈마, 및 이의 혼합물로 이루어진 군에서 선택되는 것인 방법.
  4. 제1항에 있어서, 코팅에 약 100℃ 내지 약 1000℃의 하나 이상의 온도에서의 열 처리를 실시하여 그 코팅의 적어도 일부를 치밀화하고 경화된 층을 형성하는 단계를 추가로 포함하는 방법.
  5. 제4항에 있어서, 경화된 층을, 플라즈마, 적외선, 화학 처리, 전자빔, 또는 UV 광으로 이루어진 군에서 선택된 에너지에 노출시켜 최종 규소 함유 필름을 형성하는 단계를 추가로 포함하는 방법.
  6. 제5항에 있어서, 상기 단계들이 이 방법을 위한 하나의 사이클을 규정하고 그 사이클을 규소 함유 필름의 원하는 두께를 얻을 때까지 반복할 수 있는 것인 방법.
  7. 제1항에 있어서, 적어도 하나의 아세톡시 기를 갖는 적어도 하나의 규소 함유 화합물은 디아세톡시디메틸실란을 포함하는 것인 방법.
  8. 제1항에 있어서, 식 I(a)를 갖는 아실옥시실란은 하기로 이루어진 군에서 선택되는 것인 방법:
    Figure pct00004

    상기 식에서, R은 메틸, 에틸, n-프로필, 이소-프로필, tert-부틸, n-부틸, sec-부틸, 및 이소-부틸로 이루어진 군에서 선택되고; R1은 메틸, 에틸, 비닐, 알릴, 및 에티닐로 이루어진 군에서 선택된다.
  9. 제1항에 있어서, 식 I(b)를 갖는 아실옥시알콕시실란은 하기로 이루어진 군에서 선택되는 것인 방법:
    Figure pct00005

    상기 식에서, R은 메틸, 에틸, n-프로필, 이소-프로필, tert-부틸, n-부틸, sec-부틸, 및 이소-부틸로 이루어진 군에서 선택되고; R1은 메틸, 에틸, 비닐, 알릴, 및 에티닐로 이루어진 군에서 선택되고; R2는 메틸, 에틸, n-프로필, 이소-프로필, tert-부틸, n-부틸, sec-부틸, 및 이소-부틸로 이루어진 군에서 선택된다.
  10. 제1항에 있어서, 식 I(c)를 갖는 아실옥시아미녹시실란은 하기인 방법:
    Figure pct00006

    상기 식에서, R 및 R1은 독립적으로 메틸, 에틸, n-프로필, 이소-프로필, tert-부틸, n-부틸, sec-부틸, 및 이소-부틸로 이루어진 군에서 선택되고; R1은 메틸, 에틸, 비닐, 알릴, 및 에티닐로 이루어진 군에서 선택되고; R3 및 R4는 독립적으로 메틸 및 에틸로 이루어진 군에서 선택된다.
  11. 제1항에 있어서, 규소 함유 필름은 전기용량-전압 측정법에 의해 측정된 유전 상수가 < 3.0이고, 타원편광식 기공률측정법(Ellipsometric Porosimetry)에 의해 측정된 다공도가 > 10%인 방법.
  12. 하기 I(a), I(b) 및 I(c)로 이루어진 군에서 선택되는 적어도 하나의 규소 함유 화합물을 포함하는 규소 함유 필름 전구체로서, 규소 함유 화합물은 플라즈마와 반응하여 규소 함유 필름을 형성하는 것인 규소 함유 필름 전구체:
    I(a). (RCOO)mR1 nSiHp의 식을 갖는 아실옥시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; m+n+p = 4인 아실옥시실란;
    I(b). (RCOO)m(R2O)nSiHpR1 q의 식을 갖는 아실옥시알콕시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R2는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; m = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시알콕시실란; 및
    I(c). (RCOO)m(R3R4NO)nSiHpR1 q의 식을 갖는 아실옥시아미녹시실란으로서, R은 수소, 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; R1은 선형 또는 분지형 C1 내지 C6 알킬 기, 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기에서 선택되고; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기에서 선택되고; R4는 선형 또는 분지형 C1 내지 C6 알킬 기에서 선택되고; m = 2 또는 3이고; n = 1 또는 2이고; p = 0 또는 1이고; q = 0 또는 1이고; m+n+p+q = 4인 아실옥시아미녹시실란.
  13. 제12항에 있어서, 적어도 하나의 용매를 추가로 포함하는 전구체.
  14. 제12항에 있어서, 산소 함유 공급원 및 질소 함유 공급원 중 하나 이상을 추가로 포함하는 전구체.
  15. 제12항에 있어서, 적어도 하나의 규소 함유 화합물의 적어도 하나의 올리고머를 추가로 포함하는 전구체.
  16. 제14항에 있어서, 디아세톡시디메틸실란 및 적어도 하나의 산소 함유 공급원을 포함하는 전구체.
  17. 적어도 하나의 표면 특징부를 갖는 기판 상의, 전기용량-전압 측정법에 의해 측정된 유전 상수가 < 3.0이고, 타원편광식 기공률측정법에 의해 측정된 다공도가 > 10 부피%인 제1항의 방법에 의해 얻은 규소 함유 필름.
KR1020197008382A 2016-08-30 2017-08-25 표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법 KR102265826B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662381222P 2016-08-30 2016-08-30
US62/381,222 2016-08-30
US15/681,102 2017-08-18
US15/681,102 US10468244B2 (en) 2016-08-30 2017-08-18 Precursors and flowable CVD methods for making low-K films to fill surface features
PCT/US2017/048599 WO2018044712A1 (en) 2016-08-30 2017-08-25 Precursors and flowable cvd methods for making low-k films to fill surface features

Publications (2)

Publication Number Publication Date
KR20190034356A true KR20190034356A (ko) 2019-04-01
KR102265826B1 KR102265826B1 (ko) 2021-06-16

Family

ID=61243296

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197008382A KR102265826B1 (ko) 2016-08-30 2017-08-25 표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법

Country Status (8)

Country Link
US (3) US10468244B2 (ko)
EP (2) EP3507393B1 (ko)
JP (1) JP6849792B2 (ko)
KR (1) KR102265826B1 (ko)
CN (1) CN109642315A (ko)
SG (1) SG11201901543YA (ko)
TW (2) TWI654335B (ko)
WO (1) WO2018044712A1 (ko)

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106463395B (zh) 2014-06-25 2019-06-11 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP2022542582A (ja) * 2019-07-25 2022-10-05 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のためのシラシクロアルカンを含む組成物及びその組成物を使用する方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040088362A (ko) * 2003-04-01 2004-10-16 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 화학 증착 필름의 침착 속도를 강화시키는 방법

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
EP1314193A2 (en) * 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4588304B2 (ja) * 2003-08-12 2010-12-01 Azエレクトロニックマテリアルズ株式会社 コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5624033B2 (ja) * 2008-06-30 2014-11-12 スリーエム イノベイティブプロパティズカンパニー 無機又は無機/有機ハイブリッドバリアフィルムの製造方法
US9212420B2 (en) 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8946095B2 (en) * 2012-10-25 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
KR102077742B1 (ko) * 2013-02-27 2020-02-14 삼성전자주식회사 반도체 요소 전사 방법
US20150368803A1 (en) 2013-03-13 2015-12-24 Applied Materials, Inc. Uv curing process to improve mechanical strength and throughput on low-k dielectric films
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US9570287B2 (en) 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US20160138161A1 (en) 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040088362A (ko) * 2003-04-01 2004-10-16 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 화학 증착 필름의 침착 속도를 강화시키는 방법

Also Published As

Publication number Publication date
CN109642315A (zh) 2019-04-16
TW201920758A (zh) 2019-06-01
US20200058496A1 (en) 2020-02-20
EP3507393A4 (en) 2020-02-26
TWI654335B (zh) 2019-03-21
WO2018044712A1 (en) 2018-03-08
JP6849792B2 (ja) 2021-03-31
SG11201901543YA (en) 2019-03-28
US20180061636A1 (en) 2018-03-01
US10468244B2 (en) 2019-11-05
US20220157601A1 (en) 2022-05-19
WO2018044712A8 (en) 2018-08-23
EP3507393B1 (en) 2022-04-20
US11270880B2 (en) 2022-03-08
EP3507393A1 (en) 2019-07-10
KR102265826B1 (ko) 2021-06-16
JP2019528580A (ja) 2019-10-10
TW201816178A (zh) 2018-05-01
EP4047109A1 (en) 2022-08-24
TWI706053B (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
KR102265826B1 (ko) 표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법
CN107429391B (zh) 组合物和使用所述组合物沉积含硅膜的方法
EP3420117B1 (en) Compositions and methods using same for deposition of silicon-containing film
KR102613423B1 (ko) 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US11735413B2 (en) Precursors and flowable CVD methods for making low-k films to fill surface features
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
KR20220024786A (ko) 규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant