JP6849792B2 - 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法 - Google Patents

表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法 Download PDF

Info

Publication number
JP6849792B2
JP6849792B2 JP2019511753A JP2019511753A JP6849792B2 JP 6849792 B2 JP6849792 B2 JP 6849792B2 JP 2019511753 A JP2019511753 A JP 2019511753A JP 2019511753 A JP2019511753 A JP 2019511753A JP 6849792 B2 JP6849792 B2 JP 6849792B2
Authority
JP
Japan
Prior art keywords
branched
linear
silicon
plasma
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019511753A
Other languages
English (en)
Other versions
JP2019528580A (ja
Inventor
チエンヘン リー
チエンヘン リー
レイモンド ニコラス バーティス
ニコラス バーティス レイモンド
ゴードン リッジウェイ ロバート
ゴードン リッジウェイ ロバート
シアオ マンチャオ
シアオ マンチャオ
レイ シンチエン
レイ シンチエン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2019528580A publication Critical patent/JP2019528580A/ja
Application granted granted Critical
Publication of JP6849792B2 publication Critical patent/JP6849792B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1896Compounds having one or more Si-O-acyl linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/61Additives non-macromolecular inorganic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

関連出願の相互参照
本願は、2016年8月30日出願の仮出願第62/381,222号および2017年8月18日出願の出願第15/681,102号の利益を主張するものであり、それらの全ての開示を参照することによって本明細書の内容とする。
ここに記載されているのは、電子装置の製造のためのプロセスである。より具体的には、ここに記載されているのは、堆積プロセス、例えば流動性化学気相堆積でケイ素含有膜を形成するための組成物である。ここに記載された組成物および方法を用いて堆積することができる例示的なケイ素含有膜としては、酸化ケイ素、窒化ケイ素、酸窒化ケイ素または炭素ドープ酸化ケイ素または炭素ドープ窒化ケイ素膜が挙げられる。
流動性酸化物堆積法は、典型的にはケイ素含有膜のための前駆体としてアルコキシシラン化合物を用い、それらが制御された加水分解および縮合反応によって堆積される。そのような膜は、基材上に、例えば水とアルコキシシランとの混合物を、随意選択的に溶媒および/または他の添加剤、例えば界面活性剤およびポロゲンと共に、基材上に適用することによって堆積することができる。それらの混合物の適用のための典型的な方法としては、スピンコーティング、浸漬コーティング、スプレーコーティング、スクリーン印刷、共縮合およびインクジェット印刷が挙げられる。基材への適用の後に、そして1つもしくは2つ以上のエネルギー源、例えば熱、プラズマおよび/または他の源の適用に際して、混合物内部の水が、アルコキシシランと反応してアルコキシドおよび/またはアルコキシド基を加水分解することができ、そしてシラノール種を生成し、これが更に他の加水分解された分子と縮合して、そしてオリゴマー構造または網目構造を形成する。
物理的堆積または前駆体の基材への適用に加えて、流動性誘電体堆積(FCVD)のための水およびケイ素含有の蒸気源を用いる気相堆積プロセスが、例えば、米国特許第7,541,297号、第8,449,942号、第8,629,067号、第8,741,788号、第8,481,403号、第8,580,697号、第8,685,867号、第7,498,273号、第7,074,690号、第7,582,555号、第7,888,233号、第7,915,131号明細書、ならびに米国特許出願公開第2013/0230987号明細書に記載されており、参照することによってそれらを本明細書の内容とする。典型的方法は、通常は基材上の間隙を、固体誘電体材料で、その間隙の中に流動性の液体膜を形成することによって充填することに関する。この流動性の膜は、Si−C結合を有することのできる誘電体前駆体を酸化剤と反応させて、誘電体材料を形成させることによって形成される。特定の態様では、誘電体前駆体は、縮合し、そして次いで酸化剤と反応して誘電体材料を形成する。特定の態様では、気相反応物は、反応して縮合された流動性の膜を形成する。Si−C結合は、比較的に水との反応に対して不活性であるので、結果として生じる網目構造は、有機官能基で有利に官能化されている可能があり、それが結果として生じる膜に所望の化学的および物理的性質を与える。例えば、網目構造への炭素の付加は、結果として生じる膜の誘電率を低下させる可能性がある。
流動性化学気相堆積プロセスを用いた酸化ケイ素膜を堆積するための他の取り組みは、気相重合である。例えば、従来技術では、Si、H、N含有オリゴマーを堆積させて、それを次いでオゾン暴露を用いてSiOx膜へと酸化させるのに、トリシリルアミン(TSA)などの化合物を用いることに焦点が当てられてきた。そのような取り組みの例としては、米国特許出願公開第2014/0073144号、第2013/230987号明細書、米国特許第7,521,378号、第7,557,420号、第8,575,040号、および第7,825,040号明細書が挙げられ、それらを参照することによって、本明細書の内容とする。
トリシリルアミン(TSA)を用いるプロセスについては、TSAは典型的には反応チャンバ中に気体として、アンモニアと混合されて、供給され、そして遠隔プラズマ反応器中で活性化されてNH、NH、Hおよび/またはNラジカルもしくはイオンを発生させる。TSAはプラズマで活性化されたアンモニアと反応し、そしてオリゴマー化し始めて、より高分子量のTSA二量体および三量体または、Si、NおよびHを含む他の種を形成する。基材は、反応器中に置かれ、そして約0〜約50℃の範囲の1つもしくは2つ以上の温度まで、特定のチャンバ圧力で冷却され、そしてTSA/活性化されたアンモニア混合物オリゴマーが、それらが「流動」することができて、トレンチの表面フィーチャを満たすような仕方で、ウエハ上に凝縮し始める。このようにして、Si、NおよびHを含む材料が、ウエハ上に堆積し、そしてトレンチを満たす。特定の態様では、予備アニール工程が行われて、膜をよりSiN様にさせる。SiN材料を有することが望ましい、何故ならば、次のプロセス工程は、100〜700℃の範囲の1つもしくは2つ以上の温度での、オゾンまたは水を用いた酸化であるからである。SiN結合の距離および角度の故に、SiNがSiOへと酸化されるときに、単位格子体積の増加があり、それが、膜が収縮することを防止させることが知られている。
流動性化学気相堆積および他の膜堆積プロセスに関する当技術分野における最近の活動にも拘わらず,問題がなお残っている。それらの問題の1つは、膜の組成に関する。例えば、前駆体トリシリルアミン(TSA)から気相重合プロセスで堆積された流動性酸化物膜は、Si−H結合の高い密度を有し、そして高品質の熱酸化物よりも2.2〜2.5倍速い希HF中のエッチング速度を有する膜をもたらす。そのような膜は、低k膜の用途には好適ではない。
多くの環境では、硬化プロセス、例えば熱アニール、UV硬化、またはイオン/ラジカル高密度化、を流動性膜に適用することができる。硬化プロセスは、炭素基、ヒドロキシル基およびより小さな分子量の種を、堆積された材料から取り除く可能性がある。ここで、図1を参照すると、このことは、しばしば空隙、割れ目または空間を硬化された材料中に残してしまう。そのような膜はまた、低k膜の用途には好適ではない。
従って、低kの酸化ケイ素含有膜材料として成功裏に機能する、機械的な完全性および気孔率を有するケイ素含有膜を、流動性CVD技術によって生成させる、代替の前駆体化合物を提供する必要性が存在する。
ここに記載された組成物もしくは配合物およびそれを用いた方法は、従来技術のそれらの問題を、基材表面の少なくとも一部の上に、堆積後処理によって所望の膜特性を与えるケイ素含有膜を堆積させることによって、克服する。本発明は、i)約2〜約15GPa、約4〜約12、そして幾つかの場合には約6〜約10のヤング率による機械的な完全性、ii)約10〜約30体積%、約12〜約25、そして幾つかの場合には、約16〜約22の気孔率(例えば、エリプソメトリーポロシメーターによって測定された)、ならびに、iii)約2.2〜約3.0、約2.4〜約2.8、そして幾つかの場合には、約2.5〜約2.7の誘電率を有するケイ素含有膜を提供することができる。
1つの態様では、ここに記載された本発明は、ケイ素含有膜を堆積させるための方法を提供するものであり、この方法は、少なくとも1つの表面フィーチャを含む基材を、約−20℃〜約400℃の温度である反応器中に配置すること、この反応器中に少なくとも1種のアセトキシ基を有する少なくとも1種のケイ素含有化合物を導入すること、この少なくとも1種のケイ素含有化合物は、以下のI(a)〜I(c)からなる群から選択され、
I(a): 式(RCOO) SiHを有するアシルオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である、
I(b): 式(RCOO)(RO)SiH を有するアシルオキシアルコキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、Rは直鎖または分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
I(c): 式(RCOO)(RNO)SiH を有するアシルオキシアミノオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、そしてRは水素、直鎖もしくは分岐C〜C10アルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
プラズマを反応器中に与えて、少なくとも1種のケイ素含有化合物を少なくとも部分的に反応させて、流動性の液体オリゴマーを形成させ、ここで流動性の液体オリゴマーは、基材上にコーティングを形成し、そして少なくとも1つの表面フィーチャの少なくとも一部を少なくとも部分的に満たすこと、を含んでいる。
他の態様では、本発明の方法は、そのコーティングを約100℃〜約1000℃の範囲の1つもしくは2つ以上の温度で、そのコーティングの少なくとも一部を高密度化し、そして硬化された層を形成するように、熱処理に付す工程を更に含んでいる。
更に他の態様では、本発明の方法は、その硬化された層を、プラズマ、赤外線、化学的処理、および電子線、または紫外線からなる群から選択されたエネルギーに暴露して、最終的なケイ素含有膜を形成させる工程を更に含んでいる。
本発明の他の態様は、少なくとも1種のアセトキシ基を有する少なくとも1種のケイ素含有化合物を含む前駆体組成物に関し、ここで少なくとも1種のケイ素含有化合物は、I(a)〜I(c)からなる群から選択される、
I(a): 式(RCOO) SiHを有するアシルオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である、
I(b): 式(RCOO)(RO)SiH を有するアシルオキシアルコキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、Rは直鎖または分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、q=0もしくは1そしてm+n+p+q=4である、ならびに、
I(c): 式(RCOO)(RNO)SiH を有するアシルオキシアミノオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、そしてRは水素、直鎖もしくは分岐C〜C10アルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である。
本発明の他の態様は、本発明の方法および組成物によって得られた膜に関する。
本発明の他の特徴および利点は、例示として、本発明の原理を示す添付の図面をとともに考慮して、以下の好ましい態様のより詳細な説明から明らかとなるであろう。
本発明の態様および特徴は、単独で、または互いに組み合わせて用いることができる。
本発明は、これ以降、添付の図面と関連付けて説明され、同じ符号は、同じ要素を示している。
図1は、基材のトレンチ中に形成された従来技術の酸化ケイ素膜を示すSEM顕微鏡写真であり、ここでは硬化プロセスの間に空隙が形成されている。
図2は、本発明のプロセスに従って形成された、ジアセトキシジメチルシランのOとの堆積による、酸化ケイ素膜を示すSEM顕微鏡写真である。
図3は、本発明のプロセスによる、300℃での5分間の熱アニールの後の、図2の酸化ケイ素膜を示すSEM顕微鏡写真である。
図4は、本発明のプロセスによる、400℃での10分間のUV暴露の後の図3の酸化ケイ素膜を示すSEM顕微鏡写真である。
以下の詳細な説明は、好ましい例示的な態様を提供するだけであり、そして本発明の範囲、適用範囲、または構成を限定することは意図されていない。むしろ、好ましい例示的な態様の以下の詳細な説明は、当業者に、本発明の好ましい例示的な態様を実施するための実施可能な説明を提供するものである。添付の特許請求の範囲に規定した、本発明の精神および範囲から逸脱することなしに、種々の変更を、要素の機能および配置に加えることができる。
特許請求の範囲において、文字記号(例えば、a、bおよびc)が、特許請求された方法の工程を識別するのに用いられる可能性がある。それらの文字記号は、本方法の工程を参照することを援けるのに用いられており、そして特許請求された工程が実施される順序を示すことは、そのような順序が特許請求の範囲に特に記載されていない限り、そして特に記載されている範囲においてのみしか、意図されていない。
ここに記載された組成物および配合物ならびにそれらを用いた方法は、従来技術の問題を、基材表面の少なくとも一部の上に、堆積後処理で所望の膜特性を与えるケイ素含有膜を堆積させることによって、克服する。
本発明は、半導体薄膜プロセス技術に向けられている。方法およびシステムが、種々のデバイス構造の上に形態学的に適用される誘電体膜の品質を向上させるために説明されている。より具体的には、本発明の態様は、高いアスペクト比を有するトレンチのためのボイドのない間隔充填を達成するための増大した密度を有する酸化ケイ素膜を形成する方法およびシステムを提供する。例えば、本発明は、他の用途の中で、狭いSTIトレンチを充填するための高品質の酸化ケイ素膜を形成するのに適用される。
従って、1つの態様では、この開発は、ケイ素含有膜を堆積させるための方法を提供するものであり、この方法は、少なくとも1つの表面フィーチャを含む基材を、約−20℃〜約400℃の温度である反応器中に配置すること、この反応器中に少なくとも1種のアセトキシ基を有する少なくとも1種のケイ素含有化合物を導入すること、この少なくとも1種のケイ素含有化合物は、以下のI(a)〜I(c)からなる群から選択され、
I(a): 式(RCOO) SiHを有するアシルオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である、
I(b): 式(RCOO)(RO)SiH を有するアシルオキシアルコキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、Rは直鎖または分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
I(c): 式(RCOO)(RNO)SiH を有するアシルオキシアミノオキシシラン、ここでRは水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、そしてRは水素、直鎖もしくは分岐C〜C10アルキル基から選択され、Rは直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
プラズマを反応器中に与えて、少なくとも1種のケイ素含有化合物を少なくとも部分的に反応させて、流動性の液体オリゴマーを形成させ、ここで流動性の液体オリゴマーは、基材上にコーティングを形成し、そして少なくとも1つの表面フィーチャの少なくとも一部を少なくとも部分的に満たすこと、を含んでいる。
「流動性の液体オリゴマー」は、堆積条件の下で流動性であるポリシロキサン材料を意味しており、ポリシロキサンは、FTIRによって測定される。硬化の後に、流動性の」液体オリゴマーは、固体の炭素ドープ多孔質OSGを形成する。
ケイ素含有膜は、窒化ケイ素、炭化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素、および炭素ドープ酸窒化ケイ素膜からなる群から選択される。この組成物は、予備混合された組成物、予備混合物(堆積プロセスで用いられる前に混合される)、またはインサイチュ混合物(堆積プロセスの間に混合される)であることができる。従って、本明細書において、用語「混合物」、「配合物」および「組成物」は互換性がある。
本明細書をとおして、ここで用いられる用語「酸化ケイ素」は、化学量論のもしくは非化学量論の酸化ケイ素、炭素ドープ酸化ケイ素、シリコンカルボキシニトライドおよびそれらの混合物からなる群から選択されたケイ素および酸素を含む膜を表している。
本発明の方法では、典型的には第1の工程は、少なくとも1つの表面フィーチャを含む基材を、約−20℃〜約400℃の温度である反応器中に配置することである。好適な基材としては、限定するものではないが、半導体材料、例えば、ヒ化ガリウム(「GaAs」)、窒化ホウ素(「BN」)シリコン、およびケイ素を含む組成物、例えば、結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(「SiO」)、炭化ケイ素(「SiC」)、酸炭化ケイ素(「SiOC」)、窒化ケイ素(「SiN」)、炭窒化ケイ素(「SiCN」)、有機ケイ酸塩ガラス(「OSG」)、有機フルオロケイ酸塩ガラス(「OFSG」)、フルオロケイ酸塩ガラス(「FSG」)、および他の適切な基材またはそれらの混合物が挙げられる。基材は、種々の層を更に含んでいることができ、その層の上にその膜が適用されるが、例えば反射防止コーティング、フォトレジスト、有機ポリマー、多孔質の有機および無機材料、金属、例えば銅およびアルミニウム、または拡散障壁層、例えば、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、TiSiN、TaSiN、SiCN、TiSiCN、TaSiCN、またはW(C)Nである。本発明の有機ケイ酸塩ガラス膜は、好ましくは慣用の引張試験、例えばASTM D3359−95a、テープ剥離試験に合格するように、少なくとも1種の前記の材料に十分に接着することができる。
幾つかの態様では、基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウムのウエハ(サファイア)、ガラスのシート、金属箔、有機ポリマー膜であることができ、あるいはポリマーの、ガラスの、シリコンの、または金属の3次元の物品であることができる。基材は、当技術分野においてよく知られている種々の材料、例えば、酸化ケイ素、窒化ケイ素、アモルファス炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ヒ化ガリウム、窒化ガリウムなどの膜、でコーティングされていることができる。それらのコーティングは、基材を完全にコーティングしていることができ、種々の材料の多層の中にあることができ、そして下にある材料の層を暴露するように部分的にエッチングされていることができる。また、表面は、その上に、パターンを有して暴露され、そして基材を部分的にコーティングするように現像された、フォトレジストを有していることができる。
幾つかの態様では、基材は表面フィーチャを含んでいる。ここで用いられる用語「表面フィーチャ」は、以下の孔、トレンチ、浅いトレンチ分離(STI)、ビア、レエントラントフィーチャ、などの1つもしくは2つ以上を含む、基材または部分的に加工された基材を意味している。1つの特定の態様では、表面フィーチャは、100μm以下の幅、1μm以下の幅、または0.5μm以下の幅、または50nm以下の幅を有している。この態様または他の態様では、表面フィーチャのアスペクト比(深さの幅に対する比)は、存在するのであれば、0.1:1以上、または1:1以上、または10:1以上、または20:1以上、または40:1以上である。
ここで記載された膜またはコーティングを形成するのに用いられる方法は、流動性化学堆積プロセスである。ここに開示された方法のために好適な堆積プロセスの例として、限定するものではないが、熱化学気相堆積(CVD)またはプラズマ促進サイクルCVD(PECCVD)プロセスが挙げられる。例示的な流動性CVD反応器は、米国特許出願公開第2014/0073144号明細書に開示されており、参照することによって本明細書の内容とする。ここで用いられているように、用語「流動性化学気相堆積プロセス」は、基材が1種もしくは2種以上の揮発性前駆体に暴露され、それが基材表面上で反応および/または分解して流動性のオリゴマーのケイ素含有種を与え、そして次いで更なる処理で固体の膜もしくは材料を生成させる、いずれかのプロセスを表している。ここで用いられる前駆体、試薬および供給源はしばしば「気体状の」と記載されている可能性があるが、これらの前駆体は、液体または固体のいずれかであることができ、それらは不活性ガスのあり、もしくはなしで、直接の蒸発、バブリングもしくは昇華によって、反応器中に移送されることが理解される。幾つかの場合には、蒸発された前駆体は、プラズマ発生器を通過することができる。1つの態様では、膜は、プラズマを基にした(例えば、遠隔で発生された、またはインサイチュの)CVDプロセスを用いて堆積される。ここで用いられる用語「反応器」としては、限定するものではないが、反応チャンバまたは堆積チャンバが挙げられる。
特定の態様では、基材は、膜の1つもしくは2つ以上の性質に影響を与えるように、1種もしくは2種以上の堆積前処理、限定するものではないが、例えばプラズマ処理、熱処理、化学的処理、紫外線曝露、電子線曝露、およびそれらの組合せに曝露することができる。それらの堆積前処理は、不活性、酸化および/または還元から選択された雰囲気の下で起こることができる。
ここで用いられる化学試薬はしばしば「気体状」と記載される可能性があるが、この化学試薬は、気体として反応器に直接に供給される、蒸発している液体もしくはキャリアガス、例えば窒素、ヘリウムもしくはアルゴンを用いたバブリングしている液体からの蒸気として、昇華している固体からの、および/または不活性のキャリアガスによって運ばれる蒸気として、反応器中に供給される、ことが理解される。
本発明の開発による方法は、反応器中に、少なくとも1つのアセトキシ基を有するケイ素含有化合物(ここでは「前駆体」とも表される)を導入する工程を含んでおり、ここで少なくとも1つの第2の化合物は以下の式I(a)〜I(c)からなる群から選択される。
I(a): 式(RCOO) SiHを有するアセトキシシラン、ここでRおよびRは独立して直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である。
I(b): 式(RCOO)(RO)SiHを有するアセトキシアルコキシシラン、ここでRおよびRは、独立して直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、そしてm+n+p=4である。
I(c): 式(RCOO)(RNO)SiHを有するアセトキシアミノシラン、ここでRは直鎖もしくは分岐C〜Cアルキル基から選択され、Rは水素、分岐C〜Cアルキル基、およびC〜C10アリール基から選択され、そしてRは直鎖もしくは分岐C〜C10アルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である。
上記の、および本明細書を通して上記の式における用語「直鎖アルキル」は、1〜10個、3〜10個または1〜6個の炭素原子を有する直鎖の官能基を示している。上記の、および本明細書を通して上記の式における用語「分岐アルキル」は、3〜10個または1〜6個の炭素原子を有する直鎖の官能基を示している。例示的な直鎖アルキル基としては、限定されるものではないが、メチル、エチル、プロピル、ブチル、ペンチルおよびヘキシル基が挙げられる。例示的な分岐アルキル基としては、限定するものではないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、iso−ペンチル、tert−ベンチル、iso−ヘキシル、およびネオヘキシルが挙げられる。特定の態様では、アルキル基は、それらに結合された、1種もしくは2種以上の官能基、例えば、限定するものではないが、アルコキシ基、ジアルキルアミノ基またはそれらの組み合わせを有することができる。他の態様では、アルキル基は、それらに結合された1種もしくは2種以上の官能基を有していない。アルキル基は、飽和されていても、あるいは不飽和でもよい。
上記の、および本明細書を通して上記の式における用語「アリール」は、3〜10個の炭素原子、5〜10個の炭素原子、または6〜10個の炭素原子を有する芳香族の環状官能基を示している。例示的なアリール基としては、限定するものではないが、フェニル、ベンジル、クロロベンジル、トリルおよびo−キシリルが挙げられる。
上記の、および本明細書を通して上記の式における用語「アルケニル基」は、1つもしくは2つ以上の炭素−炭素二重結合を有し、そして2〜12個、2〜10個、または2〜6個の炭素原子を有する基を示している。例示的なアルケニル基としては、限定するものではないが、ビニルまたはアリル基が挙げられる。
用語「アルキニル基」は、1つもしくは2つ以上の炭素−炭素三重結合を有し、そして2〜10個、または2〜6個の炭素原子を有する基を示している。例示的なアルキニル基としては、限定するものではないが、エチニルが挙げられる。
特定の態様では、前記の式中の1種もしくは2種以上のアルキル基またはアリール基は、「置換されて」いることができ、または例えば、水素原子の代わりに置換された、1種もしくは2種以上の原子または原子の群を有していることができる。例示的な置換物としては、限定するものではないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、IまたはBr)、窒素、アルキル基、およびリンが挙げられる。他の態様では、前記の式中のアルキル基、アルケニル基、アルキニル基、芳香族および/またはアリール基の1つもしくは2つ以上は、非置換であることができる。
特定の態様では、上記の式中の置換基R、RおよびRのいずれか1つもしくは2つ以上は、それらが水素でない場合には、上記の式中で、C−C結合で結合されて環構造を形成することができる。当業者が理解するように、この置換基は、直鎖もしくは分岐C〜C10アルキレン部分、C〜C12アルケニレン部分、C〜C12アルキニレン部分、C〜C10環状アルキル部分、およびC〜C10アリーレン部分から選択されることができる。それらの態様では、環状構造は、不飽和、例えば、環状アルキル環であることができ、または飽和、例えばアリール環であることができる。更に、それらの態様では、環状構造はまた、置換されているか、または置換されていることができる。他の態様では、置換基R、RおよびRのいずれか1つもしくは2つ以上は、結合されていない。
ケイ素含有前駆体が、式I(a)を有する化合物を含む1つの態様では、前駆体の例としては、以下のものが挙げられる。
Figure 0006849792
式I(a)の化合物の例としては、ジアセトキシジメチルシラン、ジアセトキシメチルシラン、トリアセトキシメチルシラン、ジアセトキシジビニルシラン、ジアセトキシメチルビニルシラン、トリアセトキシビニルシラン、ジアセトキシジエチニルシラン、ジアセトキシメチルエチニルシラン、およびトリアセトキシエチニルシランが挙げられる。
ケイ素含有前駆体が式I(b)を有する化合物を含む1つの態様では、前駆体の例としては以下のものが挙げられる。
Figure 0006849792
式I(B)の化合物の例としては、ジアセトキシメトキシメチルシラン、ジアセトキシジメトキシシランおよびトリアセトキシメトキシシランが挙げられる。
1つの態様では、ケイ素含有前駆体は式I(c)を有する化合物を含む。
Figure 0006849792
式I(c)の化合物の例としては、ジアセトキシジメチルアミノオキシメチルシラン、ジアセトキシジ(メチルエチル)アミノオキシメチルシラン、およびジアセトキシジエチルアミノオキシメチルシランが挙げられる。
ここに記載されたケイ素含有前駆体は、反応チャンバ、例えばCVDまたはALD反応器へと、種々の方法で、供給することができる、1つの態様では、液体供給システムを用いることができる。代替の態様では、液体供給およびフラッシュ蒸発プロセス装置の組み合わせ、例えば、MSP Corporation(Shoreview、ミネソタ州)によって製造されたターボ蒸発器を、低揮発性の材料が、容量的に供給されることを可能にするように、用いることができ、このことが前駆体の熱分解なしに、再現性のある移送および堆積をもたらす。液体供給の配合物では、ここに記載された前駆体は、単体の液体の形態で供給されることができ、あるいはそれを含んだ溶媒配合物または組成物で用いることができる。従って、特定の態様では、前駆体配合物は、基材上に膜を形成する所定の最終用途で、望ましく、そして有利であることができるような好適な特徴の溶媒成分を含むことができる。
ケイ素含有前駆体化合物は、好ましくはハロゲン化物イオン、例えばクロリドまたは金属イオン、例えばAlを実質的に含まない。ここで用いられる用語「実質的に含まない」は、ハロゲン化物イオン(またはハライド)または金属イオン、例えばクロリド、フルオリド、ブロミド、ヨージド、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関しては、それぞれのハライドまたは金属イオンが、5ppm未満(質量基準)、好ましくは3ppm未満、そしてより好ましくは1ppm未満、そして最も好ましくは0ppmを意味している。クロリドまたは金属イオンは、ケイ素前駆体の分解触媒として作用することが知られている。最終的な製品中のクロリドの有意な量は、ケイ素前駆体が分解することを引き起こす可能性がある。ケイ素前駆体の漸次の分解は、膜の堆積プロセスに直接的に影響する可能性があり、半導体製造者が膜の仕様に合格させるのを困難にさせる。更に、貯蔵寿命または安定性は、ケイ素前駆体のより高い分解速度によってマイナスの影響を受け、従って1〜2年の貯蔵寿命を保証することを困難にさせる。更に、ケイ素前駆体は、分解によって、可燃性および/または自然発火性のガス、例えば水素およびシランを形成することが知られている。当該前駆体化合物を含む組成物は、そのような分解生成物を実質的に含まない。従って、ケイ素含有前駆体の促進された分解は、それらの可燃性および/または自然発火性のガスの副生成物の形成に関して、安全性および性能への懸念を与える。
ハロゲン化物を実質的に含まない本発明によるケイ素含有前駆体は、(1)化学合成の間にクロリド源を低減する、または排除すること、および/または(2)最終的な精製された生成物が、クロリドを実質的に含まないように、粗生成物からクロリドを除去する効果的な精製プロセスを実行すること、によって得ることができる。クロリド源は、ハライド、例えばクロロジシラン、ブロモジシラン、またはヨードジシランを含まない試薬を用い、それによってハライドイオンを含む副生成物の生成を回避することによって、合成の間に低減されることができる。更に、前記の試薬は、結果として得られる粗生成物がクロリド不純物を実質的に含まないように、クロリド不純物を実質的に含まないものでなければならない。同様にして、その合成は、ハライド系の溶媒、触媒、または容認できない高水準のハライド不純物を含む溶媒を用いてはならない。また、粗生成物は、種々の精製方法によって処理されて、最終的な生成物が、ハライド、例えばクロリドを実質的に含まなくさせることができる。そのような方法は、従来技術によく記載されており、限定するものではないが、精製プロセス、例えば蒸留または吸着を挙げることができる。蒸留は、通常、不純物を所望の生成物から、沸点の差異を利用することによって、分離するのに用いられる。また、吸着は、最終生成物が実質的にハライドを含まないような分離をもたらすように、成分の異なる吸着特性を利用するように用いることができる。吸着剤、例えば商業的に入手可能なMgO−Al混合物を、ハライド、例えばクロリドを除去するために用いることができる。
ここに記載した溶媒および少なくとも1種のケイ素含有化合物を含む組成物に関するこれらの態様では、選択された溶媒またはそれらの混合物は、ケイ素化合物とは反応しない。この組成物における溶媒の質量パーセントでの量は、0.5質量%〜99.5質量%、または10質量%〜75質量%の範囲である。この態様または他の態様では、溶媒は、式I(a)、I(b)およびI(c)の前駆体のb.p.と同様の沸点(b.p.)を有しているか、あるいは溶媒のb.p.と式I(a)、I(b)およびI(c)のケイ素前駆体のb.p.との間の差異は、40℃以下、30℃以下、または20℃以下、10℃以下、または5℃以下である。あるいは、沸点の間の差異は、以下の端点0、10、20、30または40℃のいずれか1つもしくは2つ以上の範囲である。好適なb.p.差異の範囲の例としては、限定するものではないが、0℃〜40℃、20℃〜30℃、または10℃〜30℃が挙げられる。この組成物中の好適な溶媒の例としては、限定するものではないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、第3級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペラジン、N,N,N’、N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル炭化水素(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、第3級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)、またはそれらの混合物が挙げられる。
1つの具体的な態様では、少なくとも1種のケイ素含有化合物が反応器中に導入される、導入工程は、−20℃〜1000℃、または約400℃〜約1000℃、または約400℃〜約600℃、または約−20℃〜約400℃の範囲の少なくとも1つもしくは2つ以上の温度で行われる。それらの態様または他の態様では、基材は、表面フィーチャを含む半導体基材を含んでいる。
本発明の方法は、インサイチュプラズマまたは遠隔プラズマ源を、少なくとも1種のケイ素含有化合物が、少なくとも部分的に反応して、流動性の液体オリゴマーを形成するように、提供する工程を含んでおり、この流動性の液体オリゴマーは、基材上にコーティングを形成し、そして少なくとも1つの表面フィーチャの少なくとも一部を少なくとも部分的に充填する。エネルギーが、少なくとも1種のケイ素含有化合物、窒素含有源(用いられる場合には)、酸素源、他の前駆体またはそれらの組み合わせに加えられて、反応を誘発し、そしてケイ素含有膜またはコーティングを基材上に形成させる。そのようなエネルギーは、限定するものではないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、フォトン、遠隔プラズマ法、およびそれらの組み合わせによって与えることができる。特定の態様では、二次RF周波数源を、基材表面におけるプラズマ特性を変更するのに用いることができる。堆積がプラズマを含む態様では、プラズマ発生プロセスは、プラズマが反応器内で直接発生される直接プラズマ発生プロセス、あるいはプラズマが反応器の外部で発生されて、反応器内に供給される遠隔プラズマ発生プロセスを含むことができる。
前駆体の酸素もしくは窒素含有源に対する体積流量比は、約40:1〜約0.2:1、約20:1〜約1:1、そして幾つかの場合には約6:1〜約2:1の範囲であることができる。本発明の1つの態様では、組成物は、本発明のケイ素含有前駆体および、酸素もしくは窒素含有源の少なくとも1種を含んでいる。本発明の他の態様では、組成物は、本発明の前駆体および酸素もしくは窒素含有源の少なくとも1種から得られたオリゴマーを含んでいる。
1つの特定の態様では、プラズマは、限定するものではないが、窒素プラズマ、窒素およびヘリウムを含むプラズマ、窒素およびアルゴンを含むプラズマ、アンモニアプラズマ、アンモニアおよびヘリウムを含むプラズマ、アンモニアおよびアルゴンを含むプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素およびヘリウムを含むプラズマ、水素およびアルゴンを含むプラズマ、アンモニアおよび水素を含むプラズマ、有機アミンプラズマ、酸素を含むプラズマ、酸素および水素を含むプラズマ、ならびにそれらの混合物からなる群から選択される。
他の態様では、プラズマ源は、限定するものではないが、炭素源プラズマ、例えば炭化水素プラズマ、炭化水素およびヘリウムを含むプラズマ、炭化水素およびアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素および水素を含むプラズマ、炭化水素および窒素源を含むプラズマ、炭化水素および酸素源を含むプラズマ、ならびにそれらの混合物からなる群から選択される。
前述のように、本方法は、膜を、表面フィーチャを含む基材の表面の少なくとも一部の上に堆積させる。基材は、反応器中に置かれ、そして基材は、約−20℃〜約400℃の範囲の1つもしくは2つ以上の温度に維持される。1つの具体的な態様では、基材の温度は、チャンバの壁よりも低い。基材温度は、100℃未満の温度、好ましくは25℃未満の温度、そして最も好ましくは10℃未満で−20℃超の温度に保持される。
特定の態様では、反応器は、大気圧未満の圧力または750トール(10パスカル(Pa))以下、または100トール(13332Pa)以下である。1つの態様では、反応器の圧力は、約0.1トール(13Pa)〜約10トール(1333Pa)の範囲に維持される。
プラズマエネルギーの存在下で、ケイ素含有化合物は互いに反応し、そしてオリゴマーを形成し、それが液体(液体オリゴマー)として、基材の表面上に凝縮し、そして基材上のフィーチャを少なくとも部分的に充填する。しかしながら、堆積されたままの膜の直接の使用は、多孔質に過ぎ、そして適切な機械的強度を有していない誘電体をもたらす可能性がある。従って、本発明の開発の特定の態様は、堆積されたままの酸化ケイ素層の更なる処理を行うように適用されて、向上した密度を有するように膜品質を向上させ、そして更にボイドのない間隔充填を達成させる。「ボイドのない」とは、堆積され、そして硬化された膜のSEMまたはTEM観察によって得られる目視の確認を意味している。
好ましい態様では、流動性の液体オリゴマーは、約100℃〜約1000℃の範囲の1つもしくは2つ以上の温度で熱的にアニールされて、材料の少なくとも一部で高密度化され、次いで100℃〜1000℃の範囲の温度で、広帯域のUV処理を受ける。
ボイドの形成を防止するように、処理の間に架橋が必要とされる。例えば、ジアセトキシジメチルシランが加熱される場合には、無水酢酸分子が失われ、そしてSi−O−Si結合が形成される。無水酢酸分子の消失は、ナノスケールの細孔の生成を招く。それぞれのケイ素原子には2つのアセトキシ基が存在するので、架橋の形成は、長鎖をもたらす。3Dの架橋を生成させるには、3つのアセトキシ官能基を有する前駆体が必要とされる。他の態様では、酸化剤(OまたはCO)が、3D架橋を生成させるように好ましく加えられる。膜密度は、典型的には酸化ケイ素または炭素ドープ酸化ケイ素では、1.5〜2.0g/cm、窒化ケイ素または炭素ドープ窒化ケイ素では1.8〜2.8g/cmの範囲である。従って、そのような膜は、低k材料用としての使用に好適である。誘電率、kは、典型的には、炭素ドープ酸化ケイ素では、2.5〜2.8、または2.5〜3.0の範囲で得られる。
特定の態様では、結果として得られるケイ素含有膜またはコーティングは、膜の1つもしくは2つ以上の性質に影響を及ぼすように、堆積後処理、例えば、限定するものではないが、プラズマ処理、例えば、限定するものではないが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、アンモニアプラズマ、水(HO)プラズマ、酸素プラズマ、オゾン(O)プラズマ、NOプラズマ、NOプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO)プラズマ、およびそれらの組み合わせ、化学的処理、紫外線暴露、赤外線暴露、電子線暴露ならびに/あるいは他の処理に暴露することができる。
幾つかの態様では、熱処理後の材料は、プラズマ、赤外線、化学処理、電子線、またはUV線に曝露されて、高密度の膜を形成する。
上記の工程は、ここに記載された方法の1つのサイクルを画定し、そしてこのサイクルは、ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる。この態様、または他の態様では、ここに記載された方法のそれらの工程は、種々の順序で実施することができ、逐次的にまたは同時に(例えば、他の工程の少なくとも一部の間に)、そしてそれらのいずれかの組み合わせで行われることができることが理解される。それらの化合物および他の試薬を供給するそれぞれの工程は、結果として得られるケイ素含有膜の化学量論的組成を変更するように、それらを供給するための時間の継続時間を変えることによって行うことができる。
本発明の1つの態様では、下記の膜またはフィーチャの少なくとも1つが、本発明のケイ素含有膜の上に形成または堆積されることができる:i)平坦化の対象、ii)銅(例えば、ビアを充填するための)、およびiii)誘電体膜。1つの態様では、本発明は、少なくとも1つのフィーチャ(例えば、ビアまたはトレンチ)を有するパターン化された構造を含む基材を含み、その上に、本発明の膜(例えば、炭素ドープ酸化ケイ素)が堆積され、そしてバリア層(例えば、コバルト、炭窒化ケイ素、窒化ケイ素、酸窒化炭素、TiNおよびTaNの少なくとも1種)を含む膜が、本発明の膜の上に堆積される。
以下の例は、本発明を更に説明する目的のために提供されるが、しかしながら本発明を限定することを決して意味してはいない。

流動性化学気相堆積(FCVD)膜が、中間的抵抗(8〜12Ωcm)の単結晶シリコンウエハ基材およびSiパターンウエハ上に堆積された。特定の例では、結果として得られるケイ素含有膜またはコーティングは、その膜の1つもしくは2つ以上の性質に影響を与えるように、堆積前処理、例えば、限定するものではないが、プラズマ処理、熱処理、化学処理、紫外線曝露、赤外線曝露、電子線曝露および/または他の処理に曝露することができる。
Applied Materials Precision 5000 system上の修正されたFCVDチャンバ上での堆積を、シランまたはTEOSプロセスキットのいずれかを用いて行うことができる。このチャンバは、直接の液体注入(DLI)供給能力を有している。前駆体は、前駆体の沸点に応じた供給温度を有する液体である。
初期の流動性の炭素ドープ酸化物膜を堆積するために、典型的な液体前躯体の流量は、100〜5000mg/分であり、酸素(または、代わりに二酸化炭素)の流量は20〜40sccmであり、インサイチュプラズマの出力密度は0.25〜3.5W/cmであり、圧力は0.75〜12トールであった。堆積されたままの流動性の膜を高密度化するために、それらの膜は、真空中で、内付けPECVDチャンバを用いて、100〜1000℃、好ましくは300〜400℃で、熱アニールおよび/またはUV硬化された。厚さおおび632nmでの屈折率(RI)は、SCI反射率計またはWoollam楕円偏光測定器によって測定された。典型的な膜厚は、10〜2000nmの範囲であった。ケイ素系膜の結合特性および水素含有量(Si−H、C−HおよびN−H)が、Nicolet transmissionフーリエ変換赤外分光法(FTIR)機器によって測定および解析された。全ての密度測定は、X線反射率(XRR)を用いて行われた。X線光電子分光法(XPS)および二次イオン質量分析法(SIMS)分析が、それらの膜の元素組成を測定するために行われた。パターン化されたウエハへの流動性およびギャップ充填効果が、断面走査型電子顕微鏡(SEM)によって、Hitachi S-4800装置を用いて、2.0nmの解像度で観察された。膜の多孔性が、エリプソメータポロシメトリーによって測定された。
流動性CVD堆積は、実験計画法(DOE)方法論を用いて行われた。実験計画は、100〜5000mg/分、好ましくは500〜2000mg/分の前駆体流量、0sccm〜1000sccm、好ましくは0〜100sccmの酸素(またはCO)流量、0.75〜12トール、好ましくは6〜10トールの圧力、50〜1000W、好ましくは100〜500WのRF出力(13.56MHz)、0〜100Wの低周波(LF)出力、および−20〜400℃、好ましくは−20℃〜40℃の範囲の堆積温度、を含んでいる。DOE実験は、どのプロセスパラメータが、良好な流動性を備えた最適な膜を生成させたかを決定するのに用いられた。
前駆体としてジアセトキシジメチルシランを有する低k膜の堆積
この実験では、最も好ましい膜特性を有する流動性の多孔性低k膜を堆積させるのに用いられたプロセス条件は、以下のものである:出力=200W、間隔=200ミル、圧力=6〜10トール、温度=30〜35℃、ジアセトキシジメチルシラン=1500〜2000mg/分、He=200sccm、O=40〜60sccm。流動性膜は、300℃で5分間熱アニールされ、次いで10分間に亘って400℃でUV硬化された。
1.37のRIおよび2.6〜2.7のkを有する膜が、ブランケット基材上に得られた。この膜の多孔性は、19〜20%であった。8トールの処理圧力で、この膜の弾性率は10.4GPaであり、硬度は、1.84GPaであった。この弾性率および硬度は、慣用のRECVD多孔質低k膜に適合した。
ここで図2を参照すると、図2には、断面SEMが示されており、ジアセトキシジメチルシランのOとの堆積によって、良好なギャップ充填が達成されたことが示されている。ここで図3を参照すると、図3には、図2の膜の断面SEMが示されており、これは300℃で5分間に亘って熱アニールされ、そして400℃で10分間に亘ってUV硬化されたものである(図4)。ここで図4を参照すると、図4は、SEM顕微鏡写真であり、400℃で10分間に亘るUV暴露の後の、図3の酸化ケイ素膜を示している。
本発明の原理が、好ましい態様との関連で上記に説明されてきたが、この説明は、例示としてのみになされており、そして本発明の範囲を限定するものではないことが明確に理解されなければならない。

Claims (17)

  1. ケイ素含有膜を堆積する方法であって、
    少なくとも1つの表面フィーチャを含む基材を、約−20℃〜約400℃の温度である反応器中に配置すること、
    該反応器中に、少なくとも1つのアセトキシ基を有する少なくとも1種のケイ素含有化合物を導入すること、該少なくとも1種のケイ素含有化合物は、以下のI(a)〜I(c)からなる群から選択される、
    I(a) 式(RCOO) SiHを有するアシルオキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である、
    I(b) 式(RCOO)(RO)SiH を有するアシルオキシアルコキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
    I(c) 式(RCOO)(RNO)SiH を有するアシルオキシアミノオキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、そしてRは、水素、直鎖もしくは分岐C〜C10アルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
    インサイチュプラズマまたは遠隔プラズマ源を前記反応器へ供給して、前記少なくとも1種のケイ素含有化合物を少なくとも部分的に反応させて、流動性の液体オリゴマーを形成させて、この流動性の液体オリゴマーは、前記基材上にコーティングを形成し、そして少なくとも1つの表面フィーチャの少なくとも一部を少なくとも部分的に充填する、
    を含んでなる、方法。
  2. 前記プラズマが、窒素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、窒素およびヘリウムを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、窒素およびアルゴンを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、アンモニアを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、アンモニアおよびヘリウムを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、アンモニアおよびアルゴンを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素およびヘリウムを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、水素およびアルゴンを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、アンモニアおよび水素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、インサイチュまたは遠隔プラズマ源ベースの有機アミンプラズマ、酸素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、酸素および水素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、ならびにそれらの混合物からなる群から選択される、請求項1記載の方法。
  3. 前記プラズマが、炭素または炭化水素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、炭化水素およびヘリウムを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、炭化水素およびアルゴンを含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、二酸化炭素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、一酸化炭素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、炭化水素および水素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、炭化水素および窒素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、炭化水素および酸素を含むインサイチュまたは遠隔プラズマ源ベースのプラズマ、ならびにそれらの混合物からなる群から選択される、請求項1記載の方法。
  4. 前記コーティングを、約100℃〜約1000℃の範囲の1つもしくは2つ以上の温度で、熱処理に付して、該コーティングの少なくとも一部を高密度化し、そして硬化された層を形成させる工程を更に含む、請求項1記載の方法。
  5. 前記硬化された層を、プラズマ、赤外線、化学処理、電子線、またはUV線から選択されたエネルギーに曝露して、最終的なケイ素含有膜を形成させる工程を更に含む、請求項4記載の方法。
  6. 前記工程が、前記方法のための1つのサイクルを画定し、そして該サイクルは、前記ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる、請求項5記載の方法。
  7. 少なくとも1つのアセトキシ基を有する前記少なくとも1種のケイ素含有化合物が、ジアセトキシジメチルシランを含む、請求項1記載の方法。
  8. 式I(a)を有する前記アシルオキシシランが、
    Figure 0006849792
    式中、Rは、メチル、エチル、n−プロピル、イソ−プロピル、tert−ブチル、n−ブチル、sec−ブチルおよびイソ−ブチルからなる群から選択され、そしてRは、メチル、エチル、ビニル、アリル、およびエチニルからなる群から選択される、
    からなる群から選択される、請求項1記載の方法。
  9. 前記ケイ素含有化合物が、
    Figure 0006849792
    式中、Rは、メチル、エチル、n−プロピル、イソ−プロピル、tert−ブチル、n−ブチル、sec−ブチルおよびイソ−ブチルからなる群から選択され、Rは、メチル、エチル、ビニル、アリル、およびエチニルからなる群から選択され、そしてRは、メチル、エチル、n−プロピル、イソ−プロピル、tert−ブチル、n−ブチル、sec−ブチルおよびイソ−ブチルからなる群から選択される、
    からなる群から選択される式I(b)を有するアシルオキシアルコキシシランである、請求項1記載の方法。
  10. 前記アシルオキシアミノオキシシランが式I(c)
    Figure 0006849792
    式中、RおよびRは、メチル、エチル、n−プロピル、イソ−プロピル、tert−ブチル、n−ブチル、sec−ブチルおよびイソ−ブチルからなる群から独立して選択され、Rは、メチル、エチル、ビニル、アリルおよびエチニルからなる群から選択され、そしてRおよびRは、メチルおよびエチルからなる群から独立して選択される、
    を有する、請求項1記載の方法。
  11. 前記ケイ素含有膜が、静電容量−電圧測定によって測定された3.0未満の誘電率、エリプソメータポロシメトリーによって測定された10%超の多孔性を有する、請求項1記載の方法。
  12. I(a) 式(RCOO) SiHを有するアシルオキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、そしてm+n+p=4である、
    I(b) 式(RCOO)(RO)SiH を有するアシルオキシアルコキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、ならびに、
    I(c) 式(RCOO)(RNO)SiH を有するアシルオキシアミノオキシシラン、ここでRは、水素、直鎖もしくは分岐C〜Cアルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基、直鎖もしくは分岐C〜Cアルケニル基、直鎖もしくは分岐C〜Cアルキニル基から選択され、そしてRは、水素、直鎖もしくは分岐C〜C10アルキル基から選択され、Rは、直鎖もしくは分岐C〜Cアルキル基から選択され、m=2もしくは3、n=1もしくは2、p=0もしくは1、q=0もしくは1、そしてm+n+p+q=4である、
    からなる群から選択された少なくとも1種のケイ素含有化合物を含む、流動性化学気相堆積でケイ素含有膜を形成するためのケイ素含有膜前駆体であって、該ケイ素含有化合物は、プラズマと反応して、ケイ素含有膜を形成させる、流動性化学気相堆積でケイ素含有膜を形成するためのケイ素含有膜前駆体。
  13. 少なくとも1種の溶媒を更に含む、請求項12記載の前駆体。
  14. 酸素含有源および窒素含有源の少なくとも1種を更に含む、請求項12記載の前駆体。
  15. 少なくとも1種の前記ケイ素含有化合物の少なくとも1種のオリゴマーを更に含む、請求項12記載の前駆体。
  16. ジアセトキシジメチルシランおよび少なくとも1種の酸素含有源を含む、請求項14記載の前駆体。
  17. なくとも1つの表面フィーチャを有する基材上に前記方法によって得られたケイ素含有膜が、静電容量−電圧測定によって測定された3.0未満の誘電率、エリプソメータポロシメトリーによって測定された10体積%超の多孔性を有する、請求項1記載の方法
JP2019511753A 2016-08-30 2017-08-25 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法 Active JP6849792B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662381222P 2016-08-30 2016-08-30
US62/381,222 2016-08-30
US15/681,102 US10468244B2 (en) 2016-08-30 2017-08-18 Precursors and flowable CVD methods for making low-K films to fill surface features
US15/681,102 2017-08-18
PCT/US2017/048599 WO2018044712A1 (en) 2016-08-30 2017-08-25 Precursors and flowable cvd methods for making low-k films to fill surface features

Publications (2)

Publication Number Publication Date
JP2019528580A JP2019528580A (ja) 2019-10-10
JP6849792B2 true JP6849792B2 (ja) 2021-03-31

Family

ID=61243296

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019511753A Active JP6849792B2 (ja) 2016-08-30 2017-08-25 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法

Country Status (8)

Country Link
US (3) US10468244B2 (ja)
EP (2) EP3507393B1 (ja)
JP (1) JP6849792B2 (ja)
KR (1) KR102265826B1 (ja)
CN (1) CN109642315A (ja)
SG (1) SG11201901543YA (ja)
TW (2) TWI706053B (ja)
WO (1) WO2018044712A1 (ja)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015199111A1 (ja) 2014-06-25 2015-12-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) * 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20220038750A (ko) * 2019-07-25 2022-03-29 버슘머트리얼즈 유에스, 엘엘씨 실라시클로알칸을 포함하는 조성물 및 이를 이용한 규소 함유 필름의 증착 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
EP1837902B1 (en) * 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4588304B2 (ja) * 2003-08-12 2010-12-01 Azエレクトロニックマテリアルズ株式会社 コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR20110033210A (ko) * 2008-06-30 2011-03-30 쓰리엠 이노베이티브 프로퍼티즈 컴파니 무기 또는 무기/유기 혼성 장벽 필름 제조 방법
US9212420B2 (en) 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8946095B2 (en) * 2012-10-25 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
KR102077742B1 (ko) * 2013-02-27 2020-02-14 삼성전자주식회사 반도체 요소 전사 방법
KR20150128870A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9570287B2 (en) 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US20160138161A1 (en) 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices

Also Published As

Publication number Publication date
US11270880B2 (en) 2022-03-08
KR102265826B1 (ko) 2021-06-16
EP4047109A1 (en) 2022-08-24
US20220157601A1 (en) 2022-05-19
WO2018044712A8 (en) 2018-08-23
TW201920758A (zh) 2019-06-01
WO2018044712A1 (en) 2018-03-08
SG11201901543YA (en) 2019-03-28
CN109642315A (zh) 2019-04-16
EP3507393A4 (en) 2020-02-26
JP2019528580A (ja) 2019-10-10
US10468244B2 (en) 2019-11-05
TW201816178A (zh) 2018-05-01
EP3507393B1 (en) 2022-04-20
US20180061636A1 (en) 2018-03-01
TWI706053B (zh) 2020-10-01
US20200058496A1 (en) 2020-02-20
KR20190034356A (ko) 2019-04-01
EP3507393A1 (en) 2019-07-10
TWI654335B (zh) 2019-03-21

Similar Documents

Publication Publication Date Title
JP6849792B2 (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
JP7152576B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP6882468B2 (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
EP3420117B1 (en) Compositions and methods using same for deposition of silicon-containing film
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7485732B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
JP2022537057A (ja) ケイ素含有膜堆積のための組成物およびそれを用いた方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200616

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200618

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210304

R150 Certificate of patent or registration of utility model

Ref document number: 6849792

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250