KR20150128870A - 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스 - Google Patents

저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스 Download PDF

Info

Publication number
KR20150128870A
KR20150128870A KR1020157027924A KR20157027924A KR20150128870A KR 20150128870 A KR20150128870 A KR 20150128870A KR 1020157027924 A KR1020157027924 A KR 1020157027924A KR 20157027924 A KR20157027924 A KR 20157027924A KR 20150128870 A KR20150128870 A KR 20150128870A
Authority
KR
South Korea
Prior art keywords
forming
layer
dielectric layer
organosilicon
gas
Prior art date
Application number
KR1020157027924A
Other languages
English (en)
Inventor
강섭 임
마헨드라 차브라
알렉산드로스 티. 데모스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150128870A publication Critical patent/KR20150128870A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

개선된 기계적 강도를 갖는 저 k 다공성 유전체 막 및 그러한 막을 제조하기 위한 방법들이 본원에서 개시된다. 유전체 층을 형성하는 방법은, 프로세싱 챔버에 기판을 위치시키는 단계, 프로세싱 챔버에 증착 가스를 전달하는 단계, 증착 가스를 사용하여, 기판의 표면 상에 고밀도 유기실리콘(dense organosilicon) 층을 증착하는 단계 ― 고밀도 유기실리콘 층은 포로제닉(porogenic) 탄소를 포함함 ―, 반응물 가스로부터 세공(pore)-형성 플라즈마를 형성하는 단계, 다공성 유기실리콘 층을 생성하기 위해, 세공-형성 플라즈마에 고밀도 유기실리콘 층을 노출시키는 단계 ― 세공-형성 플라즈마는 포로제닉 탄소의 적어도 일부를 제거함 ―, 및 자외선(UV) 복사에 다공성 유기실리콘 층을 노출시키는 단계를 포함할 수 있다.

Description

저-K 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 UV 경화 프로세스{UV CURING PROCESS TO IMPROVE MECHANICAL STRENGTH AND THROUGHPUT ON LOW-K DIELECTRIC FILMS}
[0001] 본원에서 개시되는 실시예들은 일반적으로, 전자 및 반도체 디바이스들의 제조에서 사용하기 위한 저 유전 상수를 갖는 막들에 관한 것이다.
[0002] 반도체 산업이, 더 높은 성능 및 더 우수한 기능성을 갖는 집적 회로들(IC들)의 새로운 세대들을 도입함에 따라, 이들 IC들을 형성하는 엘리먼트들의 밀도는, 치수들, 사이즈, 및 개별적인 컴포넌트들 또는 엘리먼트들 사이의 간격이 감소되면서, 증가된다. 과거에는, 그러한 감소들이 구조들을 포토리소그래피로(photolithographically) 정의하는 능력에 의해서만 제한되었지만, μm 또는 nm로 측정되는 치수들을 갖는 디바이스 기하형상들이, 엘리먼트들 사이에서 사용되는 절연성 재료(들)의 유전 상수 또는 금속성 엘리먼트들의 전도율과 같은 새로운 제한 인자들을 생성하였다.
[0003] 진보된 반도체 제조의 분야에서, 전체 캐패시턴스 누화(crosstalk)를 감소시키기 위해, 90 나노미터(nm)와 동등한 또는 그 미만의 설계 규칙들을 갖는 집적 회로들의 미래의 세대들에 대해, 저 k 값들을 갖는 유전체들이 요구된다. 일반적으로, "저 k 유전체"라는 용어는, 일반적으로 약 3.9 미만인 유전 상수를 갖는 재료들을 지칭한다. 더 전형적으로, 진보된 설계 규칙들에 대해, 저 k 유전체 재료들의 유전 상수들은, 3.0 미만, 그리고 종종, 2.5 미만이도록 선택된다. 유전체 막들은 일반적으로, 스핀-온(spin-on) 프로세스를 사용하여, 또는 화학 기상 증착(CVD) 프로세스를 사용하여 증착 또는 형성된다.
[0004] 현대의 반도체 디바이스들에 의해 요구될 수 있는 저 K 값들을 달성하기 위하여, (1의 K 값을 갖는) 공기를 포함시키기 위해, 다공성 층들이 사용되어 왔다. 유기 저-k 폴리머들 또는 유기 폴리실리카(polysilica) 저-k 폴리머들과 같은 저 유전체 재료들 내에 다공성(porosity)을 발생시키기 위해, 수개의 방법들이 추진되어 왔다. 하나의 접근법은, 실리콘과 유기 전구체들의 혼합물을 사용하여, 하이브리드 유기-무기 막을 제조하는 것이며, 이어서, 유기 분자들을 분해(degrade)하기 위해, 열, 전자 빔(e-빔), 또는 자외선 복사(UV)를 사용하여, 막이 경화된다. 유기 분자들을 분해함으로써, 세공(pore)들이 생성되지만, 층의 기계적 강도가 감소된다.
[0005] 따라서, 기계적 강도를 유지하는, IC 디바이스들의 제조에 대해 유용한 초 저 k 유전체 막들에 대한 필요성이 존재한다.
[0006] 본원에서 개시되는 실시예들은 일반적으로, 개선된 기계적 강도를 갖는 저 k 다공성 유전체 막을 형성하기 위한 방법 및 장치에 관한 것이다. 층의 가교결합(crosslinking)으로부터 세공들의 생성을 분리시킴으로써, 저 k 값 및 증가된 기계적 강도 양자 모두를 갖는 유전체 층을 생성할 수 있다.
[0007] 일 실시예에서, 유전체 층을 형성하는 방법은, 프로세싱 챔버에 기판을 위치시키는 단계, 프로세싱 챔버에 증착 가스를 전달하는 단계, 증착 가스를 사용하여, 기판의 표면 상에 고밀도 유기실리콘(dense organosilicon) 층을 증착하는 단계 ― 고밀도 유기실리콘 층은 포로제닉(porogenic) 탄소를 포함함 ―, 반응물 가스로부터 세공-형성 플라즈마를 형성하는 단계, 다공성 유기실리콘 층을 생성하기 위해, 세공-형성 플라즈마에 고밀도 유기실리콘 층을 노출시키는 단계 ― 세공-형성 플라즈마는 포로제닉 탄소의 적어도 일부를 제거함 ―, 및 자외선(UV) 복사에 다공성 유기실리콘 층을 노출시키는 단계를 포함할 수 있다.
[0008] 다른 실시예에서, 유전체 층을 형성하는 방법은, 프로세싱 챔버에 위치된 기판에 반응물 가스를 전달하는 단계 ― 기판은 포로제닉 화합물들이 내부에 배치된 유기실리콘 층을 포함함 ―; 반응물 가스로부터 세공-형성 플라즈마를 형성하는 단계; 다공성 유기실리콘 층을 생성하기 위해, 세공-형성 플라즈마에 유기실리콘 층을 노출시키는 단계; 및 자외선(UV) 복사에 다공성 유기실리콘 층을 노출시키는 단계를 포함할 수 있다.
[0009] 다른 실시예에서, 유전체 층을 형성하는 방법은, 프로세싱 챔버에 위치된 기판에 증착 가스를 전달하는 단계 ― 증착 가스는 디에톡시메틸실란 및 바이시클로헵타디엔 및 포로제닉 탄소 가스를 포함함 ―; 기판의 표면 상에 고밀도 유기실리콘 층을 증착하기 위해, 증착 가스를 포함하는 플라즈마를 형성하는 단계 ― 고밀도 유기실리콘 층은 포로제닉 탄소 화합물들을 포함함 ―; 다공성 유기실리콘 층을 생성하기 위해, 포로제닉 탄소 화합물들을 활성화시키는 단계; 및 기판에 자외선(UV) 복사를 전달함으로써, 다공성 유기실리콘 층을 가교결합시키는 단계를 포함할 수 있다.
[0010] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은, 하나 또는 그 초과의 실시예들에 따라 구성된 프로세싱 챔버의 개략적인 단면도이다.
[0012] 도 2a 내지 도 2c는, 하나 또는 그 초과의 실시예들에 따라 형성된 다공성 유기실리콘 층을 도시한다.
[0013] 도 3은, 하나 또는 그 초과의 실시예들에 따른, 다공성 유기실리콘 층을 형성하기 위한 방법의 흐름도이다.
[0014] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이, 구체적인 설명 없이 다른 실시예들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
[0015] 본원에서 개시되는 실시예들은 일반적으로, 초 저 유전체 막을 형성하기 위한 방법에 관한 것이다. 더 구체적으로, 본원에서 개시되는 실시예들은 일반적으로, 증착된 층의 기계적 강도를 유지하면서, 초 저 k 막을 생성하는 방법들에 관한 것이다. 본원에서 설명되는 실시예들에서, 기판 상에 유기실리콘 층이 증착된다. 그 후에, 층으로부터 탄소를 제거하기 위해, 층은 산화성(oxidative) 또는 환원성(reductive) 가스로 처리된다. 이러한 단계는 층을 가교결합시키지 않으면서 세공들을 생성한다. 탄소가 제거된 후에, Si-O-Si 결합들을 형성하고, 따라서, 증착된 층의 기계적 강도의 대부분을 유지하는 저 K 층을 생성하기 위해, UV를 사용하여, 유기실리콘 층이 가교결합된다. 본원에서 개시되는 본 발명은 아래에서 도면들을 참조하여 더 분명하게 설명된다.
[0016] 도 1은, 본원에서 설명되는 실시예들에 따른, 탄소 계 층을 증착하기 위해 사용될 수 있는 CVD 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세싱 챔버(100)는 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능하고, 그 프로세싱 챔버(100)의 간단한 설명이 뒤따른다. 본원에서 설명되는 탄소 층 증착 방법들을 수행하도록 적응될 수 있는 프로세싱 챔버들은 PRODUCER® 화학 기상 증착 챔버이고, 이들 모두는 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능하다. 아래에서 설명되는 챔버는 예시적인 실시예이고, 동일한 또는 다른 제조자들로부터의 챔버들을 포함하는 다른 챔버들이, 본원에서 설명되는 본 발명의 특성들로부터 벗어나지 않으면서, 본 발명의 실시예들과 매칭하도록 변형될 수 있거나, 또는 본 발명의 실시예들과 함께 사용될 수 있다는 것이 이해되어야 한다.
[0017] 프로세싱 챔버(100)는, 중앙 이송 챔버(미도시)에 연결되고 로봇(미도시)에 의해 서비싱되는(serviced) 다수의 프로세싱 챔버들을 포함하는 프로세싱 시스템(미도시)의 부분일 수 있다. 프로세싱 챔버(100)는, 프로세스 볼륨(112)을 정의하는, 벽들(106), 바닥(108), 및 리드(lid)(110)를 포함한다. 벽들(106) 및 바닥(108)은 알루미늄의 일체형 블록(unitary block)으로 제조될 수 있다. 프로세싱 챔버(100)는 또한, 배기 포트(116)에 프로세스 볼륨(112)을 유체적으로 커플링시키는 펌핑 링(114), 뿐만 아니라, 다른 펌핑 컴포넌트들(미도시)을 포함할 수 있다.
[0018] 가열될 수 있는 기판 지지 어셈블리(138)가 프로세싱 챔버(100) 내에서 중앙에 배치될 수 있다. 기판 지지 어셈블리(138)는 증착 프로세스 동안에 기판(103)을 지지한다. 기판 지지 어셈블리(138)는 일반적으로, 알루미늄, 세라믹, 또는 알루미늄과 세라믹의 조합으로 제조되고, 적어도 하나의 바이어스 전극(132)을 포함한다.
[0019] 진공 포트는, 증착 프로세스 동안에 기판 지지 어셈블리(138)에 기판(103)을 고정시키도록, 기판 지지 어셈블리(138)와 기판(103) 사이에 진공을 적용(apply)하기 위해 사용될 수 있다. 바이어스 전극(132)은, 예컨대, 프로세싱 동안에, 기판 지지 어셈블리(138) 및 그 기판 지지 어셈블리(138) 상에 위치된 기판(103)을 미리 결정된 바이어스 전력 레벨로 바이어싱하기 위해, 바이어스 전력 소스(130A 및 130B)에 커플링되고, 기판 지지 어셈블리(138)에 배치된 전극(132)일 수 있다.
[0020] 바이어스 전력 소스(130A 및 130B)는, 약 1 내지 약 60 MHz의 주파수와 같은 다양한 주파수들로, 기판 지지 어셈블리(138) 및 기판(103)에 전력을 전달하도록 독립적으로 구성될 수 있다. 본원에서 설명되는 본 발명으로부터 벗어나지 않으면서, 본원에서 설명되는 주파수들의 다양한 변경들이 채용될 수 있다.
[0021] 일반적으로, 기판 지지 어셈블리(138)는 스템(stem)(142)에 커플링된다. 스템(142)은, 기판 지지 어셈블리(138)와 프로세싱 챔버(100)의 다른 컴포넌트들 사이에 전기 리드(lead)들, 진공 및 가스 공급 라인들을 위한 도관을 제공한다. 부가적으로, 스템(142)은, 로봇식 이송을 용이하게 하도록 기판 지지 어셈블리(138)를 (도 1에서 도시된 바와 같은) 상승된 위치와 하강된 위치(미도시) 사이에서 이동시키는 리프트 시스템(144)에 기판 지지 어셈블리(138)를 커플링시킨다. 벨로즈(146)는, 기판 지지 어셈블리(138)의 이동을 용이하게 하면서, 챔버(100) 외부의 대기와 프로세스 볼륨(112) 사이에 진공 밀봉(seal)을 제공한다.
[0022] 샤워헤드(118)는 일반적으로, 리드(110)의 내측면(120)에 커플링될 수 있다. 프로세싱 챔버(100)에 진입하는 가스들(즉, 프로세스 및 다른 가스들)은 샤워헤드(118)를 통해 그리고 프로세싱 챔버(100) 내로 통과한다. 샤워헤드(118)는 프로세싱 챔버(100)에 가스들의 균일한 유동을 제공하도록 구성될 수 있다. 기판(103) 상의 균일한 층 형성을 촉진하기 위해, 균일한 가스 유동이 바람직하다. 플라즈마 전력 소스(160)는, 기판 지지 어셈블리(138) 상에 배치된 기판(103)을 향하여 샤워헤드(118)를 통하는 가스들을 에너자이징(energize)하기 위해, 샤워헤드(118)에 커플링될 수 있다. 플라즈마 전력 소스(160)는 RF 전력을 제공할 수 있다. 추가로, 플라즈마 전력 소스(160)는, 약 100 MHz 내지 약 200 MHz의 주파수와 같은 다양한 주파수들로, 샤워헤드(118)에 전력을 전달하도록 구성될 수 있다. 일 실시예에서, 플라즈마 전력 소스(160)는 162 MHz의 주파수로 샤워헤드(118)에 전력을 전달하도록 구성된다.
[0023] 프로세싱 챔버(100)의 기능은 컴퓨팅 디바이스(154)에 의해 제어될 수 있다. 컴퓨팅 디바이스(154)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 중 하나일 수 있다. 컴퓨팅 디바이스(154)는 컴퓨터 프로세서(156)를 포함한다. 컴퓨팅 디바이스(154)는 메모리(158)를 포함한다. 메모리(158)는, 랜덤 액세스 메모리, 판독 전용 메모리, 플래시 메모리, 하드 디스크, 또는 로컬(local) 또는 원격인 임의의 다른 형태의 디지털 스토리지(storage)와 같은 임의의 적합한 메모리를 포함할 수 있다. 컴퓨팅 디바이스(154)는, 종래의 방식으로 컴퓨터 프로세서(156)를 지원하기 위해 컴퓨터 프로세서(156)에 커플링될 수 있는 다양한 지원 회로들(160)을 포함할 수 있다. 소프트웨어 루틴들은, 필요에 따라, 메모리(158)에 저장될 수 있거나, 또는 원거리에 위치된 제 2 컴퓨팅 디바이스(미도시)에 의해 실행될 수 있다.
[0024] 컴퓨팅 디바이스(154)는 하나 또는 그 초과의 컴퓨터 판독가능 매체들(미도시)을 더 포함할 수 있다. 컴퓨터 판독가능 매체들은 일반적으로, 컴퓨팅 디바이스에 의해 검색가능한(retrievable) 정보를 저장할 수 있는, 근거리(locally) 또는 원거리에 위치된 임의의 디바이스를 포함한다. 본 발명의 실시예들과 함께 사용가능한 컴퓨터 판독가능 매체들(154)의 예들은, 고체 상태 메모리, 플로피 디스크들, 내부 또는 외부 하드 드라이브들, 및 광학 메모리(CD들, DVD들, BR-D, 등)를 포함한다. 일 실시예에서, 메모리(158)는 컴퓨터 판독가능 매체들일 수 있다. 소프트웨어 루틴들은, 컴퓨팅 디바이스에 의해 실행되도록 컴퓨터 판독가능 매체들 상에 저장될 수 있다.
[0025] 소프트웨어 루틴들은, 실행되는 경우에, 범용 컴퓨터를, 챔버 프로세스가 수행되도록 챔버 동작을 제어하는 특수한 프로세스 컴퓨터로 변환시킨다. 대안적으로, 소프트웨어 루틴들은, 주문형 반도체(application specific integrated circuit) 또는 다른 타입의 하드웨어 구현으로서 하드웨어로, 또는 소프트웨어와 하드웨어의 조합으로 수행될 수 있다.
[0026] 도 2a 내지 도 2c는, 하나 또는 그 초과의 실시예들에 따라 형성된 다공성 유기실리콘 층을 도시한다. 도 2a 내지 도 2c에서 도시된 컴포넌트들의 사이즈, 포지셔닝(positioning), 및 형상은 실척대로 도시된 것이 아니고, 예시적인 목적들만을 위해 도시된다.
[0027] 도 2a는, 유기실리콘 층(204)이 위에 형성된 기판(202)을 도시한다. 기판(202)은, 특히, 금속의 얇은 시트, 플라스틱, 유기 재료, 실리콘, 유리, 석영, 또는 폴리머 재료들일 수 있다. 일 실시예에서, 기판(202)은 유리 기판이고, 그 유리 기판 상에, 실리콘-함유 층이 증착될 것이다. 다른 실시예들에서, 기판(202)은 도핑될 수 있거나, 또는 그렇지 않으면, 변형된 유리 기판일 수 있다.
[0028] 유기실리콘 층(204)은 실리콘, 산소, 탄소, 및 수소를 포함할 수 있고, 일반식 SiaObCcHd(여기에서, a + b + c + d의 원자 % = 100 %; a = 10 내지 35 %, b = 1 내지 66 %, c = 1 내지 35 %, d = 0 내지 60 %)를 가질 수 있다. 일 실시예에서, 유기실리콘 층은 불소를 더 포함할 수 있다. 유기실리콘 층(204)은 일반적으로, PE-CVD와 같은 CVD에 의해 증착되지만, 유기실리콘 층(204)을 증착하기 위해 사용되는 증착 기법은 제한적이도록 의도되지 않는다. 최종적인 유기실리콘 층(204)에서의 탄소, 실리콘, 산소, 불소, 및 수소 원자들의 비율 및 구조적인 배열은, 선택된 전구체들, 산화제, 및 CVD 프로세스 조건들, 예컨대 RF 전력, 가스 유동, 체류 시간, 및 온도에 의존한다.
[0029] 유기실리콘 층(204)은 하나 또는 그 초과의 전구체들을 포함하는 증착 가스를 사용하여 증착될 수 있다. 전구체들은 실리콘 및 탄소를 포함할 수 있다. 전구체들은, 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 및 테트라에톡시실란, 트리메틸실란, 테트라메틸실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디-실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로-테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)-메탄, 테트라에톡시실란, 트리에톡시실란, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는 하나 또는 그 초과의 전구체들을 포함할 수 있다.
[0030] 유기실리콘 층(204) 내에 포로제닉 탄소(208)가 포함된다. 이러한 도면에서, 포로제닉 탄소(208)가 유기실리콘 층(204)에 무작위로 삽입된(embedded) 것으로 도시되어 있지만, 이러한 배열은 제한적이도록 의도되지 않는다. 여기에서 도시된 포로제닉 탄소(208)는 설명 목적들만을 위한 것이고, 유기실리콘 층(204)을 구성하는 분자의 부분일 수 있거나, 또는 유기실리콘 층(204)의 별개의(discrete) 컴포넌트일 수 있다. 포로제닉 탄소들은, 시클로옥텐, 시클로헵텐, 시클로옥탄, 시클로헵탄, 시클로헥센, 시클로헥산, 및 이들의 바이시클 화학물질(bicycle chemical)들 및 혼합물들로 구성된 그룹으로부터 선택되는 멤버(member)를 포함할 수 있다.
[0031] 도 2b는, 기판(202) 위에 형성된 유기실리콘 층(204)에 전달되는 세공-형성 플라즈마(206)를 도시한다. 세공-형성 플라즈마(206)는 산화 가스 또는 환원 가스를 포함할 수 있다. 세공-형성 플라즈마(206)가 포로제닉 탄소(208)와 반응하여, 유기실리콘 층(204)으로부터 포로제닉 탄소(208)의 적어도 일부가 제거(abstract)됨으로써, 복수의 세공들(212)이 남는다.
[0032] 도 2c는, 복수의 세공들(212)이 내부에 형성된 유기실리콘 층(204)을 갖는 기판(202)을 도시한다. 복수의 세공들(212)은 실척대로 도시된 것이 아니고, 세공-형성 플라즈마(206)에 의해 추방된(displaced) 포로제닉 탄소(208)의 사이즈 및 포지셔닝에 기초한다. 이러한 도면에서, 유기실리콘 층(204)은 UV 복사(210)를 수용하는 것으로 도시된다. UV 복사(210)는 실리콘-산소 가교결합에 의해 가교결합된 유기실리콘 층(214)을 생성하고, 이는 층의 기계적 강도를 개선하는 것으로 여겨진다.
[0033] 도 3은, 일 실시예에 따른, 유전체 층을 증착하기 위한 방법(300)의 흐름도이다. 방법(300)은, 단계(302)에서와 같이, 프로세싱 챔버에 기판을 위치시키는 것으로 시작된다. 기판은 도 2a를 참조하여 설명된 바와 같은 기판일 수 있다. 적합한 기판 재료들은, 유리, 석영, 사파이어, 게르마늄, 플라스틱, 또는 이들의 합성물들을 포함할 수 있지만 이에 제한되지는 않는다. 부가적으로, 기판은 비교적 단단한 기판일 수 있거나 또는 가요성 기판일 수 있다. 추가로, 임의의 적합한 기판 사이즈가 프로세싱될 수 있다. 적합한 기판 사이즈들의 예들은, 약 2000 제곱 센티미터 또는 그 초과, 예컨대 약 4000 제곱 센티미터 또는 그 초과, 예를 들어 약 10000 제곱 센티미터 또는 그 초과의 표면적을 갖는 기판을 포함한다.
[0034] 그 후에, 단계(304)에서와 같이, 프로세싱 챔버에 증착 가스가 전달된다. 하나 또는 그 초과의 증착 가스들이 유전체 층을 증착하기 위해 사용될 수 있다. 일 실시예에서, 증착 가스는 디에톡시메틸실란 및 바이시클로헵타디엔을 포함한다.
[0035] 단계(306)에서와 같이, 기판의 표면 위에 고밀도 유기실리콘 층이 증착된다. 유전체 층은, CVD, PECVD, MW-PECVD, 핫와이어 CVD, 또는 다른 것들과 같은 알려진 기법들에 의해, 증착 가스를 사용하여 증착될 수 있다. 고밀도 유기실리콘 층은 포로제닉 탄소를 포함할 수 있다. 포로제닉 탄소는, 유기실리콘 층에 세공들을 형성하기 위해 층으로부터 제거될 수 있는 탄소이다. 층은 특정 두께, 예컨대 50 Å 내지 500 Å으로 증착될 수 있다. 위에서 설명된 바와 같이, 유기실리콘 층은 다양한 비율들의 실리콘, 탄소, 산소, 및 수소로 구성될 수 있다.
[0036] 그 후에, 단계(308)에서와 같이, 반응물 가스로부터 세공-형성 플라즈마가 형성된다. 반응물 가스는 산화성 가스 또는 환원성 가스일 수 있다. 일 실시예에서, 반응물 가스는, 오존(O3), H2O, 산소(O2), 또는 이들의 조합들을 포함하는 그룹으로부터 선택되는 산화성 가스이다. 다른 실시예에서, 반응물 가스는, 수소(H2), 암모니아(NH3), 메탄(CH4), 또는 다른 탄소-함유 가스들, 또는 이들의 조합들을 포함하는 환원성 가스이다. 세공 형성-플라즈마는, RF 전력 또는 마이크로파 전력과 같은 본 기술분야에 알려진 하나 또는 그 초과의 전력 소스들을 사용하여 형성될 수 있다. 추가로, 세공 형성 플라즈마는 챔버에서 또는 원격으로 형성될 수 있다.
[0037] 그 후에, 단계(310)에서와 같이, 다공성 유기실리콘 층을 생성하기 위해, 세공-형성 플라즈마에 고밀도 유기실리콘 층이 노출된다. 세공-형성 플라즈마는 포로제닉 탄소의 적어도 일부를 제거할 수 있다. 일 예에서, 세공-형성 플라즈마는 모든 유효한(available) 포로제닉 탄소와 반응하고 이를 제거한다.
[0038] 그 후에, 단계(312)에서와 같이, UV 복사에 다공성 유기실리콘 층이 노출된다. 유기실리콘 층으로부터 포로제닉 탄소가 적어도 부분적으로 제거된 후에, 그런 다음 UV 복사를 사용하여 층이 경화된다. UV 복사는, Si-O-Si 결합과 같이 실리콘과 산소 사이의 가교결합을 생성하는 것으로 여겨진다. 실리콘-산소 가교결합은 층의 기계적 강도를 향상시키는 것으로 여겨진다.
[0039] 이론에 의해 구속되도록 의도되지 않으면서, 다공성의 생성은, 가교결합과 동시에 행해지는 경우에, 증착된 층을 기계적으로 약화시킨다. 다공성은, 증착된 층의 k 값을 감소시키기 위해 사용될 수 있다. 유기실리콘 층으로부터 포로제닉 탄소를 제거하면서 동시에 층을 가교결합시켜서 이러한 다공성을 생성하기 위해, UV가 사용되어 왔다. 그러나, 동시적인 세공 생성 및 가교결합은 기계적 약화를 초래하는 것으로 여겨진다. 층을 가교결합시키기 전에 다공성을 생성함으로써, 유기실리콘 층은, 유기실리콘 층이 더 유연(flexible)한 동안에, 세공-형성 플라즈마에게 포로제닉 탄소를 잃는다. 따라서, 층에서 생성되는 임의의 손상이 최소화되는 것으로 여겨진다. 그 후에, 유기실리콘 층은 상당한 손상 없이 가교결합될 수 있다.
[0040] 일 예시적인 실시예에서, PECVD에 의해 기판 상에 유기실리콘 층이 증착되었다. 유기실리콘 층은 3분 동안 UV 경화에 의해서만 처리되었다. 이러한 예에서, 굴절률은 1.4011이었다. 층의 수축(shrinkage)은 20.3 %이었다. 층의 평균 k 값은 2.60이었다. 탄성률은 7.2 GPa이었다. SiCH3/SiO 결합들의 비율은 3.60이었다. CH/SiO 결합들의 비율은 1.46이었다.
[0041] 다른 예시적인 실시예에서, PECVD에 의해 기판 상에 유기실리콘 층이 증착되었다. 유기실리콘 층은, 1분 동안의 UV 경화 전에 15 초 동안 오존 플라즈마로 처리되었다. 이러한 예에서, 굴절률은 1.3856이었다. 층의 수축은 20.9 %이었다. 층의 평균 k 값은 2.60이었다. 탄성률은 6.7 GPa이었다. SiCH3/SiO 결합들의 비율은 3.75이었다. CH/SiO 결합들의 비율은 1.50이었다.
[0042] 다른 예시적인 실시예에서, PECVD에 의해 기판 상에 유기실리콘 층이 증착되었다. 유기실리콘 층은, 2분 동안의 UV 경화 전에 15 초 동안 오존 플라즈마로 처리되었다. 이러한 예에서, 굴절률은 1.3907이었다. 층의 수축은 23.0 %이었다. 층의 평균 k 값은 2.61이었다. 탄성률은 7.4 GPa이었다. SiCH3/SiO 결합들의 비율은 3.61이었다. CH/SiO 결합들의 비율은 1.33이었다.
[0043] 다른 예시적인 실시예에서, PECVD에 의해 기판 상에 유기실리콘 층이 증착되었다. 유기실리콘 층은, 3분 동안의 UV 경화 전에 15 초 동안 오존 플라즈마로 처리되었다. 이러한 예에서, 굴절률은 1.3961이었다. 층의 수축은 24.1 %이었다. 층의 평균 k 값은 2.62이었다. 탄성률은 8.0 GPa이었다. SiCH3/SiO 결합들의 비율은 3.45이었다. CH/SiO 결합들의 비율은 1.20이었다.
[0044] 본원에서 설명되는 본 발명의 실시예들은 일반적으로, 다공성이고 기계적으로 강한 유전체 층의 형성에 관한 것이다. 현재의 저 k 유전체 층들은, 층을 가교결합시키는 동안 동시에 세공들을 받아들인다(incorporate). 세공들을 생성하는 동안의 가교결합은 최종적인 층의 기계적 강도를 감소시키는 것으로 여겨진다. 층의 가교결합으로부터 세공들의 형성을 분리시킴으로써, 향상된 기계적 강도를 갖는 저 k 유전체 층을 형성할 수 있다.
[0045] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 유전체 층을 형성하는 방법으로서,
    프로세싱 챔버에 기판을 위치시키는 단계;
    상기 프로세싱 챔버에 증착 가스를 전달하는 단계;
    상기 증착 가스를 사용하여, 상기 기판의 표면 상에 고밀도 유기실리콘(dense organosilicon) 층을 증착하는 단계 ― 상기 고밀도 유기실리콘 층은 포로제닉(porogenic) 탄소를 포함함 ―;
    반응물 가스로부터 세공(pore)-형성 플라즈마를 형성하는 단계;
    다공성(porous) 유기실리콘 층을 생성하기 위해, 상기 세공-형성 플라즈마에 상기 고밀도 유기실리콘 층을 노출시키는 단계 ― 상기 세공-형성 플라즈마는 상기 포로제닉 탄소의 적어도 일부를 제거함 ―; 및
    자외선(UV) 복사에 상기 다공성 유기실리콘 층을 노출시키는 단계
    를 포함하는,
    유전체 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 반응물 가스는 산화성(oxidative) 가스를 포함하는,
    유전체 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 반응물 가스는 환원성(reductive) 가스를 포함하는,
    유전체 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 고밀도 유기실리콘 층은 실리콘, 산소, 및 탄소를 포함하는,
    유전체 층을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 증착 가스는 디에톡시메틸실란(diethoxymethylsilane)을 포함하는,
    유전체 층을 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 고밀도 유기실리콘 층은 PECVD를 사용하여 증착되는,
    유전체 층을 형성하는 방법.
  7. 유전체 층을 형성하는 방법으로서,
    프로세싱 챔버에 위치된 기판에 반응물 가스를 전달하는 단계 ― 상기 기판은 포로제닉 화합물들이 내부에 배치된 유기실리콘 층을 포함함 ―;
    상기 반응물 가스로부터 세공-형성 플라즈마를 형성하는 단계;
    다공성 유기실리콘 층을 생성하기 위해, 상기 세공-형성 플라즈마에 상기 유기실리콘 층을 노출시키는 단계; 및
    자외선(UV) 복사에 상기 다공성 유기실리콘 층을 노출시키는 단계
    를 포함하는,
    유전체 층을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 반응물 가스는, O3, H2O, O2, 또는 이들의 조합들을 포함하는 그룹으로부터 선택되는 산화성 가스를 포함하는,
    유전체 층을 형성하는 방법.
  9. 제 7 항에 있어서,
    상기 반응물 가스는, H2, NH3, CH4, 탄소-함유 가스, 또는 이들의 조합들로 구성된 그룹으로부터 선택되는 환원성 가스를 포함하는,
    유전체 층을 형성하는 방법.
  10. 제 7 항에 있어서,
    고밀도 유기실리콘 층은 실리콘, 산소, 및 탄소를 포함하는,
    유전체 층을 형성하는 방법.
  11. 제 7 항에 있어서,
    상기 포로제닉 화합물들은, 시클로옥텐, 시클로헵텐, 시클로옥탄, 시클로헵탄, 시클로헥센, 시클로헥산, 및 이들의 바이시클 화학물질(bicycle chemical)들 및 혼합물들을 포함하는,
    유전체 층을 형성하는 방법.
  12. 제 7 항에 있어서,
    상기 유기실리콘 층은 두께가 약 50 Å 내지 약 500 Å인,
    유전체 층을 형성하는 방법.
  13. 유전체 층을 형성하는 방법으로서,
    프로세싱 챔버에 위치된 기판에 증착 가스를 전달하는 단계 ― 상기 증착 가스는 디에톡시메틸실란 및 바이시클로헵타디엔 및 포로제닉 탄소 가스를 포함함 ―;
    상기 기판의 표면 상에 고밀도 유기실리콘 층을 증착하기 위해, 상기 증착 가스를 포함하는 플라즈마를 형성하는 단계 ― 상기 고밀도 유기실리콘 층은 포로제닉 탄소 화합물들을 포함함 ―;
    다공성 유기실리콘 층을 생성하기 위해, 상기 포로제닉 탄소 화합물들을 활성화시키는 단계; 및
    상기 기판에 자외선(UV) 복사를 전달함으로써, 상기 다공성 유기실리콘 층을 가교결합(crosslinking)시키는 단계
    를 포함하는,
    유전체 층을 형성하는 방법.
  14. 제 13 항에 있어서,
    포로제닉 탄소 가스는, 시클로옥텐, 시클로헵텐, 시클로옥탄, 시클로헵탄, 시클로헥센, 시클로헥산, 및 이들의 바이시클 화학물질들 및 혼합물들을 포함하는,
    유전체 층을 형성하는 방법.
  15. 제 13 항에 있어서,
    상기 포로제닉 탄소 화합물들을 활성화시키는 단계는,
    반응물 가스로부터 세공-형성 플라즈마를 형성하는 단계 ― 상기 반응물 가스는 오존을 포함함 ―; 및
    상기 세공-형성 플라즈마에 상기 고밀도 유기실리콘 층을 노출시키는 단계
    를 포함하는,
    유전체 층을 형성하는 방법.
KR1020157027924A 2013-03-13 2014-02-13 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스 KR20150128870A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361779910P 2013-03-13 2013-03-13
US61/779,910 2013-03-13
PCT/US2014/016187 WO2014158408A1 (en) 2013-03-13 2014-02-13 Uv curing process to improve mechanical strength and throughput on low-k dielectric films

Publications (1)

Publication Number Publication Date
KR20150128870A true KR20150128870A (ko) 2015-11-18

Family

ID=51625006

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027924A KR20150128870A (ko) 2013-03-13 2014-02-13 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스

Country Status (4)

Country Link
US (1) US20150368803A1 (ko)
KR (1) KR20150128870A (ko)
TW (1) TW201448036A (ko)
WO (1) WO2014158408A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107086171A (zh) * 2016-02-16 2017-08-22 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
JP2020053469A (ja) * 2018-09-25 2020-04-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6863940B2 (ja) * 2018-09-26 2021-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN114556527A (zh) * 2019-09-13 2022-05-27 弗萨姆材料美国有限责任公司 单烷氧基硅烷和二烷氧基硅烷以及由其制备的致密有机二氧化硅膜

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7381662B1 (en) * 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
JPWO2009119583A1 (ja) * 2008-03-26 2011-07-28 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
JP2013520841A (ja) * 2010-02-25 2013-06-06 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積による、有機官能基と共にシリコンを含有するハイブリッド前駆体を使用する超低誘電材料
KR20120080926A (ko) * 2011-01-10 2012-07-18 삼성전자주식회사 다공성 저유전막을 포함하는 반도체 소자의 제조방법
WO2014158351A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc. Post treatment for constant reduction with pore generation on low-k dielectric films

Also Published As

Publication number Publication date
US20150368803A1 (en) 2015-12-24
TW201448036A (zh) 2014-12-16
WO2014158408A1 (en) 2014-10-02

Similar Documents

Publication Publication Date Title
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
TWI676700B (zh) 用於高品質流動式化學氣相沉積膜之先進製程流程
KR0135486B1 (ko) 반도체 장치 제조방법
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
KR20150128870A (ko) 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
KR102655396B1 (ko) 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
WO2013065771A1 (ja) 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
WO2014157210A1 (ja) 半導体装置の製造方法、基板処理装置及び記録媒体
KR101361454B1 (ko) 반도체 소자의 실리콘 산화막 형성 방법
US9324571B2 (en) Post treatment for dielectric constant reduction with pore generation on low K dielectric films
TW201528370A (zh) 用於增加硬度及模數的低k膜之以二氧化碳及一氧化碳介入的固化
JP2010153824A (ja) 多孔質絶縁膜の製造方法、半導体装置の製造方法、及び半導体装置
KR102453724B1 (ko) 개선된 스텝 커버리지 유전체
JP7299887B2 (ja) 窒化ケイ素膜のドライエッチング速度の低減
KR20160146565A (ko) 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
US20170125241A1 (en) Low temp single precursor arc hard mask for multilayer patterning application
CN1471142A (zh) 半导体器件及其制造方法
JP2008010441A (ja) シリコン酸化膜の形成方法
WO2010109824A1 (ja) 半導体装置の製造方法
JPH0570119A (ja) 半導体装置の製造方法
KR102310231B1 (ko) Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들
WO2022158331A1 (ja) シリコン含有膜の形成方法及び処理装置
WO2010067395A1 (ja) 半導体装置の製造方法及びその製造装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid