KR102310231B1 - Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들 - Google Patents

Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들 Download PDF

Info

Publication number
KR102310231B1
KR102310231B1 KR1020150047616A KR20150047616A KR102310231B1 KR 102310231 B1 KR102310231 B1 KR 102310231B1 KR 1020150047616 A KR1020150047616 A KR 1020150047616A KR 20150047616 A KR20150047616 A KR 20150047616A KR 102310231 B1 KR102310231 B1 KR 102310231B1
Authority
KR
South Korea
Prior art keywords
layer
depositing
active side
organosilicon
substrate
Prior art date
Application number
KR1020150047616A
Other languages
English (en)
Other versions
KR20150116405A (ko
Inventor
강섭 임
태완 김
알렉산드로스 티. 데모스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150116405A publication Critical patent/KR20150116405A/ko
Application granted granted Critical
Publication of KR102310231B1 publication Critical patent/KR102310231B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Optics & Photonics (AREA)

Abstract

개선된 기계적 강도(strength)를 갖는 저 k 다공성 유전체 필름을 만들기 위한 방법들이 본원에 개시된다. 유전체 층을 형성하는 방법은, UV 활성 사이드기(active side group)를 갖는 아크릴레이트 전구체 및 산소 함유 전구체를 포함하는 증착 가스를 프로세싱 챔버의 기판에 전달하는 단계; 경화되지 않은 탄소-함유 층을 기판의 표면 상에 증착하기 위해 증착 가스를 활성화하는 단계; 및 경화된 탄소-함유 층을 생성하기 위해 경화되지 않은 탄소-함유 층에 UV 방사선을 전달하는 단계 ― UV 활성 사이드기는 제 2 기와 교차결합함― 를 포함할 수 있다.

Description

UV 경화에 의한 강화된 교차결합을 갖는 신규한 저-K 필름들{NEW LOW-K FILMS WITH ENHANCED CROSSLINKING BY UV CURING}
[0001] 본원에서 개시된 실시예들은 일반적으로, 저 유전 상수(low dielectric constant) 층들을 형성하는 방법들에 관한 것이다. 더 구체적으로, 실시예들은 일반적으로, 광활성 기(photoactive group)를 갖는 전구체를 사용하는, 얇은 필름들의 증착에 관한 것이다.
[0002] 반도체 산업이, 더 높은 성능 및 더 많은 기능성을 갖는 집적 회로들(IC's)의 새로운 세대들을 도입함에 따라, 이러한 IC들을 형성하는 엘리먼트들의 밀도는 증가되는 한편, 치수들, 사이즈, 및 개별적인 컴포넌트들 또는 엘리먼트들 사이의 간격은 감소된다. 과거에는, 그러한 감소들이, 구조들을 포토리소그래피적으로(photolithographically) 정의하는 능력에 의해서만 제한되었지만, μm 또는 nm 단위로 측정되는 치수들을 갖는 디바이스 기하형상들(geometries)은, 엘리먼트들 사이에 사용되는 절연성 재료(들)의 유전 상수, 또는 금속성(metallic) 엘리먼트들의 전도성(conductivity)과 같은 새로운 제한 인자들을 생성하였다.
[0003] 현대의 반도체 디바이스들에 의해 요구될 수 있는 저 유전 상수(저 K) 값들을 달성하기 위해, (1의 K 값을 갖는) 공기를 포함시키도록, 다공성 층들이 사용되어 왔다. 유기(organic), 저-k 폴리머들 또는 유기 폴리실리카(organic polysilica), 저-k 폴리머들과 같은 재료들을 사용하여, 층의 구조적 무결성(structural integrity)을 유지시키면서, 저 유전체 층들에 다공성(porosity)을 발생시키기 위해, 수개의 방법들이 추구되어 왔다. 하나의 접근법은, 실리콘과 유기 전구체들의 혼합물을 사용하여 하이브리드 유기-무기 필름을 제조하는 것이며, 그 후에, 필름은, 유기 분자(organic molecule)들을 분해(degrade)하기 위해, 열, 전자 빔(e-빔), 또는 자외선 방사선(ultraviolet radiation)(UV)을 사용하여 경화된다(cured). UV 경화 동안에, 교차결합(crosslinking) 반응에 포로겐(porogen) 제거가 수반되며, 이는 기계적 강도(strength)를 향상시킨다. 그러나, 교차결합은 주로, 탄소 제거와 함께 발생하며, 이는 필름 안정성에 대해 바람직하지 않다.
[0004] 따라서, 기판 프로세스 제어를 위한 개선된 디바이스들 및 방법들에 대한 필요성이 존재한다.
[0005] 본원에서 개시된 실시예들은 일반적으로, 저 k 층을 형성하는 방법들에 관한 것이다. 일 실시예에서, 층을 증착하기 위한 방법은, 프로세싱 챔버에서 기판에, UV 활성 사이드 기(UV active side group)들을 갖는 증착 가스를 전달하는 단계; 기판의 표면 상에, UV 활성 사이드 기들을 갖는 경화되지 않은 탄소 함유 층을 증착하기 위해, 증착 가스를 활성화하는 단계; 및 경화된 탄소 함유 층을 생성하기 위해, 경화되지 않은 탄소 함유 층에 UV 방사선을 전달하는 단계를 포함할 수 있으며, UV 활성 사이드 기들은 제 2 기와 교차결합(crosslinking)한다. 증착 가스는, UV 활성 사이드 기를 갖는 아크릴레이트 전구체, 및 산소 함유 전구체를 포함할 수 있다.
[0006] 다른 실시예에서, 층을 증착하기 위한 방법은, 증착 가스를 사용하여, UV 활성 사이드 기들을 갖는 경화되지 않은 유기실리콘(organosilicon) 층을 형성하는 단계; 및 경화된 유기실리콘 층을 생성하기 위해, 경화되지 않은 유기실리콘 층에 UV 방사선을 전달하는 단계를 포함할 수 있으며, UV 활성 사이드 기는 제 2 기와 교차결합하고, 경화된 유기실리콘 층은, 1.5 gPa 또는 그 초과의 경도 값(hardness value)을 갖는다. 증착 가스는, UV 활성 사이드 기를 갖는 유기실리콘 전구체, 및 산소 함유 전구체를 포함할 수 있다.
[0007] 다른 실시예에서, 층을 증착하기 위한 방법은, 증착 가스를 사용하여, 경화되지 않은 유기실리콘 층을 형성하는 단계; 경화된 유기실리콘 층을 생성하기 위해, 경화되지 않은 유기실리콘 층에 UV 방사선을 전달하는 단계; 및 경화된 탄소 함유 층을 형성하는 것과 동시에, 또는 경화된 탄소 함유 층을 형성한 후에, 포화된(saturated) 포로겐을 제거하는 단계를 포함할 수 있으며, UV 활성 사이드 기는 제 2 기와 교차결합하고, UV 방사선은, 200 nm 내지 600 nm의 파장을 갖고, 경화된 유기실리콘 층은, 1.5 gPa 또는 그 초과의 경도 값을 갖는다. 증착 가스는, UV 활성 사이드 기를 갖는 실릴알킬아크릴레이트(silylalkylacrylate) 전구체, 포화된 포로겐, 및 산소 함유 전구체를 포함할 수 있다.
[0008] 디바이스들, 시스템들, 및 방법들의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 디바이스들, 시스템들, 및 방법들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 하나 또는 그 초과의 실시예들에 따라 구성된 CVD 프로세스 챔버의 개략적인 단면도이다.
[0010] 도 2는 하나 또는 그 초과의 실시예들에 따른, 다공성 유기실리콘 층을 형성하기 위한 방법의 흐름도이다.
[0011] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이 구체적인 언급 없이 다른 실시예들에 유익하게 활용될 수 있다는 것이 고려된다.
[0012] 본원에서 개시되는 실시예들은 일반적으로, 2.5 미만의, 예컨대 2.2의 유전 상수를 갖는 초 저 k(ultra low k) 필름을 형성하기 위한 전구체들에 관한 것이다. 더 구체적으로, 본원에서 개시되는 실시예들은 일반적으로, 증착된 층의 기계적 강도를 유지시키면서, 초 저 k 필름을 생성하기 위한 전구체들에 관한 것이다. 본원에서 설명되는 전구체들은, 탄소의 상당한 손실(significant loss) 없이 교차결합을 생성하는, UV 활성 사이드 기와 같은 광활성 기를 포함한다. 저 k 층에 탄소를 유지시킴으로써, 10 nm 두께 경계(thickness boundary) 아래에서 안정적인 저 k 필름을 허용하는 층의 경도가 유지될 수 있다.
[0013] 도 1은, 본원에서 설명되는 실시예들에 따른, 탄소 계(carbon based) 층을 증착하기 위해 사용될 수 있는 CVD 프로세스 챔버(100)의 개략적인 단면도이다. 프로세스 챔버(100)는, 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능하며, 이에 대한 간단한 설명이 뒤따른다. 본원에서 설명되는 탄소 층 증착 방법들을 수행하도록 적응될 수 있는 프로세싱 챔버들은 PRODUCER® 화학 기상 증착 챔버이며, 양자 모두는, 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능하다. 아래에서 설명되는 챔버는 예시적인 실시예이고, 다른 제조자들로부터의 챔버들을 포함하는 다른 챔버들이, 본원에서 설명되는 본 발명의 특성들로부터 벗어나지 않으면서, 본원에서 설명되는 실시예들과 매칭(match)되도록 변형될 수 있거나, 또는 본원에서 설명되는 실시예들과 함께 사용될 수 있다는 것이 이해되어야 한다.
[0014] 프로세스 챔버(100)는, 중앙 이송 챔버(미도시)에 연결되고 로봇(미도시)에 의해 서비싱되는(serviced) 다수의 프로세싱 챔버들을 포함하는 프로세싱 시스템(미도시)의 부분일 수 있다. 프로세스 챔버(100)는, 프로세스 볼륨(112)을 정의하는, 벽들(106), 바닥(108), 및 리드(110)를 포함한다. 벽들(106) 및 바닥(108)은, 알루미늄의 일체형 블록(unitary block)으로 제조될 수 있다. 프로세스 챔버(100)는 또한, 프로세스 볼륨(112)을 배기 포트(116), 뿐만 아니라, 다른 펌핑 컴포넌트들(미도시)에 유체적으로(fluidly) 커플링시키는 펌핑 링(114)을 포함할 수 있다.
[0015] 가열될 수 있는 기판 지지 어셈블리(138)가, 프로세스 챔버(100) 내에서 중앙에 배치될 수 있다. 기판 지지 어셈블리(138)는, 증착 프로세스 동안에 기판(103)을 지지한다. 기판 지지 어셈블리(138)는 일반적으로, 알루미늄, 세라믹, 또는 알루미늄과 세라믹의 조합으로 제조되고, 적어도 하나의 바이어스 전극(132)을 포함한다.
[0016] 증착 프로세스 동안에 기판 지지 어셈블리(138)에 기판(103)을 고정시키도록, 기판 지지 어셈블리(138)와 기판(103) 사이에 진공을 적용(apply)하기 위해, 진공 포트가 사용될 수 있다. 바이어스 전극(132)은, 예컨대, 프로세싱 동안, 미리 결정된 바이어스 전력 레벨로, 기판 지지 어셈블리(138) 및 그 위에 위치된 기판(103)을 바이어싱하기 위해 바이어스 전력 소스(130A 및 130B)에 커플링되고 기판 지지 어셈블리(138)에 배치된 전극(132)일 수 있다.
[0017] 바이어스 전력 소스(130A 및 130B)는 독립적으로, 다양한 주파수들, 예컨대 1 내지 60 MHz의 주파수로, 기판(103) 및 기판 지지 어셈블리(138)에 전력을 전달하도록 구성된다. 일 실시예에서, 바이어스 전력 소스(130A)는 2 MHz의 주파수로 기판(103)에 전력을 전달하도록 구성될 수 있고, 바이어스 전력 소스(130B)는 13.56 MHz의 주파수로 기판(103)에 전력을 전달하도록 구성될 수 있다. 다른 실시예에서, 바이어스 전력 소스(130A)는 2 MHz의 주파수로 기판(103)에 전력을 전달하도록 구성될 수 있고, 바이어스 전력 소스(130B)는 13.56 MHz의 주파수로 기판(103)에 전력을 전달하도록 구성될 수 있으며, 제 3 전력 소스(미도시)는 60 MHz의 주파수로 기판(103)에 전력을 전달하도록 구성된다. 본원에서 설명되는 주파수들의 다양한 변경(permutation)들이, 본원에서 설명되는 실시예들로부터 벗어나지 않으면서 채용될 수 있다.
[0018] 일반적으로, 기판 지지 어셈블리(138)는 스템(stem)(142)에 커플링된다. 스템(142)은, 기판 지지 어셈블리(138)와 프로세스 챔버(100)의 다른 컴포넌트들 사이의, 전기 리드들, 진공 및 가스 공급 라인들을 위한 도관을 제공한다. 부가적으로, 스템(142)은, 로봇식 이송을 용이하게 하기 위해, (도 1에서 도시된 바와 같은) 상승된 위치와 하강된 위치(미도시) 사이에서 기판 지지 어셈블리(138)를 이동시키는 리프트 시스템(144)에 기판 지지 어셈블리(138)를 커플링시킨다. 벨로즈(bellows)(146)는, 기판 지지 어셈블리(138)의 이동을 용이하게 하면서, 챔버(100) 외부의 대기(atmosphere)와 프로세스 볼륨(112) 사이에 진공 밀봉(seal)을 제공한다.
[0019] 샤워헤드(118)는 일반적으로, 리드(110)의 내측(interior side)(120)에 커플링될 수 있다. 프로세스 챔버(100)에 진입하는 가스들(즉, 프로세스 가스 및 다른 가스들)은 샤워헤드(118)를 통해 그리고 프로세스 챔버(100) 내로 통과한다. 샤워헤드(118)는, 프로세스 챔버(100)로의 가스들의 균일한 유동을 제공하도록 구성될 수 있다. 균일한 가스 유동은, 기판(103) 상에 균일한 층 형성을 촉진하는데 바람직하다. 샤워헤드(118)를 통해, 기판 지지 어셈블리(138) 상에 배치된 기판(103)을 향하는 가스들을 에너자이징(energize)하기 위해, 샤워헤드(118)에 플라즈마 전력 소스(160)가 커플링될 수 있다. 플라즈마 전력 소스(160)는 RF 전력을 제공할 수 있다. 추가로, 플라즈마 전력 소스(160)는, 다양한 주파수들, 예컨대 100 MHz 내지 200 MHz의 주파수로, 샤워헤드(118)에 전력을 전달하도록 구성될 수 있다. 일 실시예에서, 플라즈마 전력 소스(160)는, 162 MHz의 주파수로 샤워헤드(118)에 전력을 전달하도록 구성된다.
[0020] 프로세스 챔버(100)의 기능은 컴퓨팅 디바이스(154)에 의해 제어될 수 있다. 컴퓨팅 디바이스(154)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해, 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 중 하나일 수 있다. 컴퓨팅 디바이스(154)는 컴퓨터 프로세서(156)를 포함한다. 컴퓨팅 디바이스(154)는 메모리(158)를 포함한다. 메모리(158)는, 근거리에 있는(local) 또는 원거리에 있는(remote), 램덤 액세스 메모리, 판독 전용 메모리, 플래시 메모리, 하드 디스크, 또는 임의의 다른 형태의 디지털 스토리지(storage)와 같은 임의의 적합한 메모리를 포함할 수 있다. 컴퓨팅 디바이스(154)는, 통상적인 방식으로 컴퓨터 프로세서(156)를 지원하기 위해 컴퓨터 프로세서(156)에 커플링될 수 있는 다양한 지원 회로들(162)을 포함할 수 있다. 소프트웨어 루틴들은, 필요에 따라, 원거리에 위치된 제 2 컴퓨팅 디바이스(미도시)에 의해 실행될 수 있거나, 또는 메모리(156)에 저장될 수 있다.
[0021] 컴퓨팅 디바이스(154)는 하나 또는 그 초과의 컴퓨터 판독가능 매체(미도시)를 더 포함할 수 있다. 컴퓨터 판독가능 매체는 일반적으로, 컴퓨팅 디바이스에 의해 리트리브 가능한(retrievable) 정보를 저장할 수 있는, 근거리에 또는 원거리에 위치된 임의의 디바이스를 포함한다. 본 실시예들의 실시예들과 함께 사용가능한 컴퓨터 판독가능 매체(154)의 예들은, 고체 상태 메모리(solid state memory), 플로피 디스크들, 내부 또는 외부 하드 드라이브들, 및 광학 메모리(optical memory)(CD들, DVD들, BR-D 등)를 포함한다. 일 실시예에서, 메모리(158)는 컴퓨터 판독가능 매체일 수 있다. 소프트웨어 루틴들은, 컴퓨팅 디바이스에 의해 실행되도록, 컴퓨터 판독가능 매체 상에 저장될 수 있다.
[0022] 소프트웨어 루틴들은, 실행되는 경우에, 범용 컴퓨터를, 챔버 프로세스가 수행되도록 챔버 동작을 제어하는 특정 프로세스 컴퓨터로 변형(transform)시킨다. 대안적으로, 소프트웨어 루틴들은, 주문형 집적 회로(application specific integrated circuit) 또는 다른 타입의 하드웨어 구현으로서 하드웨어에서 수행될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로 수행될 수 있다.
[0023] 도 2는 일 실시예에 따른, 유전체 층을 증착하기 위한 방법(200)의 흐름도이다. UV 활성 사이드 기들을 갖는 전구체를 전달하고, 특정 UV 범위에서 전구체를 활성화함으로써, 기판의 표면 상에, 고 경도의 저 k 탄소 층이 형성될 수 있다. 경도는 1.5 gPa와 동등하거나 또는 그 초과일 수 있다. k 값은, 2.5와 동등하거나 또는 그 미만, 예컨대 2.2 미만일 수 있다. 방법(200)은, 프로세싱 챔버에서 기판에, 유기실리콘 전구체 및 포로겐을 포함하는 증착 가스를 전달함으로써, 202에서 시작된다. 기판은, 결정질 실리콘 기판과 같이 임의의 조성(composition)으로 이루어질 수 있다. 기판은 또한, 비아(via) 또는 인터커넥트(interconnect)와 같은 하나 또는 그 초과의 피쳐(feature)들을 포함할 수 있다.
[0024] 하나 또는 그 초과의 실시예들과 함께 사용되는 프로세싱 챔버는, 위에서 설명된 프로세싱 챔버(100), 또는 다른 제조자들로부터의 챔버들과 같은 임의의 CVD 프로세싱 챔버일 수 있다. 아래에서 설명되는, 유량들 및 다른 프로세싱 파라미터들은 300 mm 기판을 위한 것이다. 이러한 파라미터들이, 본원에서 개시되는 실시예들로부터 벗어나지 않으면서, 프로세싱되는 기판의 사이즈 및 사용되는 챔버의 타입에 기초하여, 조정될 수 있다는 것이 이해되어야 한다.
[0025] 본원에서 사용되는 바와 같은 "기판 표면"은, 필름 프로세싱이 수행되는, 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 비화 갈륨(gallium arsenide), 유리(glass), 사파이어, 및 임의의 다른 재료들, 예컨대 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들과 같은 재료들을 포함한다. 기판 표면은 또한, 이산화 실리콘 및 탄소 도핑된 실리콘 산화물들과 같은 유전체 재료들을 포함할 수 있다. 기판들은, 200 mm, 300 mm, 또는 다른 직경의 웨이퍼들, 뿐만 아니라, 직사각형 또는 정사각형 페인(pane)들과 같이, 다양한 치수들을 가질 수 있다.
[0026] 적어도 하나의 실시예에서, 증착 가스는, 샤워헤드(118)를 통해 내부 볼륨(112) 내로 도입된다. 증착 가스는, 아르곤과 같은 캐리어 가스와 함께 전달될 수 있다. 증착 가스는, 300 mm 기판에 대해, 20 sccm 내지 2000 sccm의 유량으로 프로세싱 챔버 내로 도입될 수 있다. 증착 가스 및 캐리어 가스는, 별개로, 또는 증착 가스와 캐리어 가스를 결합시키거나 또는 사전 혼합(premixing)한 후에, 챔버 내로 도입될 수 있다. 프로세싱 동안의 챔버 압력은, 300 mm 기판에 대해, 10 mTorr 내지 500 mTorr로 유지될 수 있다. 증착 프로세스 동안에, 섭씨 500 도이다. 일 실시예에서, 챔버는 기판을 섭씨 25 도로 가열할 수 있다. 다른 실시예에서, 챔버는 기판을, 섭씨 5 도 내지 섭씨 100 도의 온도로 가열할 수 있다.
[0027] 유기실리콘 전구체는 UV 활성 사이드 기를 갖는다. 유기실리콘 전구체들은, 헥산디올디아크릴레이트(Hexanedioldiacrylate), 트리프로필렌글리콜디아크릴레이트(Tripropyleneglycoldiacrylate), 지방족 우레탄 아크릴레이트(Aliphatic Urethane Acrylate), 글리세린트리아크릴레이트(Glycerinetriacrylate), 비스페놀 A 에폭시디아크릴레이트(Bisphenol A Epoxydiacrylate), 방향족 우레탄 아크릴레이트(Aromatic Urethane Acrylate)를 포함할 수 있다. 일 실시예에서, 유기실리콘 전구체는 실릴알킬아크릴레이트(silylalkylacrylate)이다. UV 활성 사이드 기는 일반적으로, 아릴 케톤(aryl ketone), 아크릴레이트들 등과 같이, 하나 또는 그 초과의 산소 원자들 및 하나 또는 그 초과의 이중 결합들을 포함하는, 적어도 2개의 탄소 원자들의 체인(chain)으로서 정의된다. 아래의 테이블은, UV 활성 사이드 기들을 갖는 유기실리콘 전구체들의 몇몇 추가적인 예들을 나타낸다.
[0028] 테이블 1:
Figure 112015042931582-pat00001
[0029] 증착 가스는 산소 함유 전구체 및 포로겐을 더 포함할 수 있다. 산소 함유 전구체들은, O2, O3, H2O, N2O, 이들의 조합들, 또는 다양한 산화 가스들을 포함할 수 있다. 일 실시예에서, 산소 함유 가스는 O2이다. 포로겐들은, 시클로옥텐(cyclooctene), 시클로헵텐(cycloheptene), 시클로옥탄(cyclooctane), 시클로헵탄(cycloheptane), 시클로헥센(cyclohexene), 시클로헥산(cyclohexane), 및 이들의 이고리식 화학물들(bicyclic chemicals) 및 혼합물들로 구성된 그룹으로부터 선택된 멤버(member)를 포함할 수 있다. 일 실시예에서, 포로겐은 포화된 포로겐이다.
[0030] 그 후에, 204에서, 경화되지 않은 유기실리콘 층을 증착하기 위해, 증착 가스가 활성화된다. 증착 가스는, 소스 플라즈마 전력의 존재 시에 챔버에 전달될 수 있다. 소스 플라즈마 전력은, 도 1에 대하여 설명된 플라즈마 전력 소스(160)와 같은 전력 소스에 의해 전달될 수 있다. 유기실리콘 전구체, 산소 함유 전구체 양자 모두 및 캐리어 가스를 포함할 수 있는 증착 가스의 플라즈마를 생성하고 유지시키기 위해 챔버에 인가되는 소스 플라즈마 전력은 RF 전력일 수 있다. 소스 플라즈마 전력은, 300 mm 기판에 대해, 100 W 내지 2000 W의 (기판의 상단 표면의 0.11 W/cm2 내지 기판의 상단 표면의 2.22 W/cm2의) 전력 레벨로, 그리고 2 MHz 내지 170 MHz의 주파수로, 전달될 수 있다. 다른 실시예들은, 300 mm 기판에 대해, 500 W 내지 1500 W로(기판의 상단 표면의 0.11 W/cm2 내지 기판의 상단 표면의 2.22 W/cm2로), 소스 플라즈마 전력을 전달하는 것을 포함한다. 인가되는 전력은, 프로세싱되고 있는 기판의 사이즈에 따라 조정될 수 있다.
[0031] 그 후에, 206에서, 경화된 유기실리콘 층을 생성하기 위해, 경화되지 않은 유기실리콘 층에 UV 방사선이 전달될 수 있다. UV 활성 사이드 기의 탄소 이중 결합이 UV 방사선에 의해 활성화된다. 이러한 UV 활성 기는, 교차결합 반응을 위한 활성화 에너지를 제공하기 위해, 특정 파장 범위의 UV 에너지를 흡수할 수 있다. UV 방사선은, 200 nm 내지 600 nm의 UV 방사선과 같은 광역 스펙트럼 방사선일 수 있다. 일 실시예에서, UV 방사선은, 수은(mercury) 타입 UV 램프에 의해 생성된다. 설명된 바와 같이, UV 활성 사이드 기로부터의 하나 또는 그 초과의 탄소 기들이 교차결합에 관련되고, 이는, 유기실리콘 층으로부터의 가능한 탄소 손실을 감소시킨다. UV 방사선은, 최대 전력의 5 % 내지 최대 전력의 95 %의 전력으로 전달될 수 있다.
[0032] 경화되지 않은 유기실리콘 층을 UV 방사선에 노출시킴으로써, UV 활성 사이드 기가 활성화되고, 제 2 기와 교차결합한다. 제 2 기는, UV의 존재 시에 UV 활성 기와 결합하는 분자의 부분일 수 있다. 제 2 기는, 다른 UV 활성 기, 아크릴레이트 기, 메틸 기, 실리콘 원자, 노출된 산소, 또는 다른 교차결합 사이트(site)일 수 있다. 제 2 기를 갖는 분자는, 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane; OMCTS) 또는 디에톡시 메틸실란(diethoxy methylsilane; DEMS)을 포함하는, UV 활성 사이드 기를 갖는 또는 갖지 않는 다양한 유기실리콘 화합물들일 수 있다. UV 활성 사이드 기 및 제 2 기 양자 모두는, 제 1 실릴알킬아크릴레이트 분자의 UV 활성 사이드 기가 제 2 실릴알킬아크릴레이트 분자의 제 2 기에 교차결합하는 것과 같이, 동일한 분자의 부분들일 수 있다. 다른 실시예에서, 실릴알킬아크릴레이트 분자의 UV 활성 사이드 기가, 옥타메틸시클로테트라실록산(OMCTS) 또는 디에톡시 메틸실란(DEMS) 분자의 제 2 기와 상호작용하는 것과 같이, UV 활성 사이드 기는 제 1 타입 또는 종류의 분자의 부분이고, 제 2 기는 제 2 타입 또는 종류의 분자의 부분이다.
[0033] 그 후에, 208에서, 경화된 탄소 층을 형성하는 것과 동시에, 또는 경화된 탄소 층을 형성한 후에, 포로겐이 제거될 수 있다. 위에서 설명된 포로겐은, 유기실리콘 층에 대한 경화 단계와 동시에 제거될 수 있다. 일 실시예에서, 포로겐은, 위에서 설명된 바와 동일한 UV 방사선에 의해 활성화되는 포로겐을 사용함으로써, 경화 프로세스 동안에 제거된다. 추가로, 포로겐은, 유기실리콘 층이 경화된 후에 제거될 수 있다. 다른 실시예에서, 포로겐은, 세공-형성 플라즈마(pore-forming plasma)에 의해 제거될 수 있다. 세공-형성 플라즈마는 산화 가스 또는 환원 가스를 포함할 수 있다. 세공-형성 플라즈마는, 포로겐을 활성화하거나 또는 포로겐과 반응하여, 유기실리콘 층으로부터 포로겐의 적어도 일부를 추출(abstract)함으로써, 복수의 세공들을 남긴다.
[0034] 본원에서 설명되는 실시예들은 일반적으로, 기계적으로 강한 다공성 유전체 층의 형성에 관한 것이다. 경화 프로세스 동안의 탄소의 손실은, 저 k 층들에서 경도 부족들(deficiencies)을 생성하는 것으로 여겨진다. 아크릴레이트들과 같은 UV 활성 사이드 기를 갖는 유기실리콘 전구체들의 사용을 통해, 경화 프로세스 동안에, 더 적은 탄소가 손실된다. 탄소 양을 유지시킴으로써, 더 얇고 더 단단한 필름들이 증착될 수 있다.
[0035] 전술한 바가 본 개시의 실시예들에 관한 것이지만, 본 개시의 기본적인 범위로부터 벗어나지 않으면서, 다른 그리고 추가적인 실시예들이 고안될 수 있고, 본 개시의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 층을 증착하기 위한 방법으로서,
    프로세싱 챔버에서 기판에 증착 가스를 전달하는 단계 ― 상기 증착 가스는, UV 활성 사이드 기(UV active side group)를 갖는 유기실리콘(organosilicon) 전구체, 및 산소 함유 전구체를 포함하고, 상기 UV 활성 사이드 기는 1-(4-(트리에톡시실릴)페닐)에탄-1-온을 포함하는 아릴 케톤(aryl ketone)을 포함함 ―;
    상기 기판의 표면 상에, 경화되지 않은(uncured) 탄소-함유 층을 증착하기 위해, 상기 증착 가스를 활성화하는 단계; 및
    경화된 탄소-함유 층을 생성하기 위해, 상기 경화되지 않은 탄소-함유 층에 UV 방사선(radiation)을 전달하는 단계
    를 포함하며,
    상기 UV 활성 사이드 기는 교차결합(crosslinking)에 관련되는,
    층을 증착하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 증착 가스는 포로겐(porogen)을 포함하는,
    층을 증착하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 경화된 탄소-함유 층을 형성하는 것과 동시에, 또는 상기 경화된 탄소-함유 층을 형성한 후에, 상기 포로겐을 제거하는 단계를 더 포함하는,
    층을 증착하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 산소 함유 전구체는, O2, O3, H2O, 또는 이들의 조합들을 포함하는,
    층을 증착하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 UV 방사선은, 200 nm 내지 600 nm의 파장을 갖는,
    층을 증착하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 UV 활성 사이드 기는 산소와 결합(bond)을 형성하는,
    층을 증착하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 UV 활성 사이드 기는 메틸 기와 결합을 형성하는,
    층을 증착하기 위한 방법.
  8. 층을 증착하기 위한 방법으로서,
    UV 활성 사이드 기를 갖는 유기실리콘 전구체, 및 산소 함유 전구체를 포함하는 증착 가스를 사용하여, 경화되지 않은 유기실리콘 층을 형성하는 단계 ― 상기 UV 활성 사이드 기는 1-(4-(트리에톡시실릴)페닐)에탄-1-온을 포함하는 아릴 케톤을 포함함 ―; 및
    경화된 유기실리콘 층을 생성하기 위해, 상기 경화되지 않은 유기실리콘 층에 UV 방사선을 전달하는 단계
    를 포함하며,
    상기 UV 활성 사이드 기는 교차결합에 관련되고, 상기 경화된 유기실리콘 층은, 1.5 gPa 또는 그 초과의 경도(hardness) 값을 갖는,
    층을 증착하기 위한 방법.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 증착 가스는 포로겐을 포함하는,
    층을 증착하기 위한 방법.
  11. 제 8 항에 있어서,
    상기 UV 방사선은, 200 nm 내지 600 nm의 파장을 갖는,
    층을 증착하기 위한 방법.
  12. 제 8 항에 있어서,
    상기 산소 함유 전구체는, O2, O3, H2O, 또는 이들의 조합들을 포함하는,
    층을 증착하기 위한 방법.
  13. 제 8 항에 있어서,
    상기 UV 활성 사이드 기는 산소 또는 메틸 기와 결합을 형성하는,
    층을 증착하기 위한 방법.
  14. 층을 증착하기 위한 방법으로서,
    UV 활성 사이드 기를 갖는 유기실리콘 전구체, 포화된(saturated) 포로겐, 및 산소 함유 전구체를 포함하는 증착 가스를 사용하여, 경화되지 않은 유기실리콘 층을 형성하는 단계 ― 상기 UV 활성 사이드 기는 1-(4-(트리에톡시실릴)페닐)에탄-1-온을 포함하는 아릴 케톤을 포함함 ―;
    경화된 유기실리콘 층을 생성하기 위해, 상기 경화되지 않은 유기실리콘 층에 UV 방사선을 전달하는 단계 ― 상기 UV 활성 사이드 기는 교차결합에 관련되고, 상기 UV 방사선은, 200 nm 내지 600 nm의 파장을 갖고, 상기 경화된 유기실리콘 층은, 1.5 gPa 또는 그 초과의 경도 값을 가짐 ―; 및
    상기 경화된 유기실리콘 층을 형성하는 것과 동시에, 또는 상기 경화된 유기실리콘 층을 형성한 후에, 상기 포화된 포로겐을 제거하는 단계
    를 포함하는,
    층을 증착하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 UV 활성 사이드 기는 산소 또는 메틸 기와 결합을 형성하는,
    층을 증착하기 위한 방법.
KR1020150047616A 2014-04-07 2015-04-03 Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들 KR102310231B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461976446P 2014-04-07 2014-04-07
US61/976,446 2014-04-07

Publications (2)

Publication Number Publication Date
KR20150116405A KR20150116405A (ko) 2015-10-15
KR102310231B1 true KR102310231B1 (ko) 2021-10-06

Family

ID=54209246

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150047616A KR102310231B1 (ko) 2014-04-07 2015-04-03 Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들

Country Status (3)

Country Link
US (1) US20150284849A1 (ko)
KR (1) KR102310231B1 (ko)
TW (1) TWI651431B (ko)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0492828A1 (en) * 1990-12-26 1992-07-01 Dow Corning Corporation Mixture of adhesion additives useful in UV curable compositions and compositions containing same
US7271219B2 (en) * 2001-01-25 2007-09-18 Sanyo Chemical Industries, Ltd. Curable resin, curable resin material, curable film, and insulator
US6890605B2 (en) * 2001-09-25 2005-05-10 Jsr Corporation Method of film formation, insulating film, and substrate for semiconductor
KR20050004844A (ko) * 2002-05-08 2005-01-12 어플라이드 머티어리얼스, 인코포레이티드 전자 비임에 의한 저유전상수 필름의 경화 방법
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7462678B2 (en) * 2003-09-25 2008-12-09 Jsr Corporation Film forming composition, process for producing film forming composition, insulating film forming material, process for forming film, and silica-based film
US7355384B2 (en) * 2004-04-08 2008-04-08 International Business Machines Corporation Apparatus, method, and computer program product for monitoring and controlling a microcomputer using a single existing pin
EP1615260A3 (en) * 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2013520841A (ja) * 2010-02-25 2013-06-06 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積による、有機官能基と共にシリコンを含有するハイブリッド前駆体を使用する超低誘電材料
US8491962B2 (en) * 2010-04-02 2013-07-23 National Taiwan University Method for manufacturing a low-k layer
US20140186613A1 (en) * 2012-12-27 2014-07-03 Guardian Industries Corp. Anti-reflection coatings with self-cleaning properties, substrates including such coatings, and related methods

Also Published As

Publication number Publication date
TWI651431B (zh) 2019-02-21
TW201542866A (zh) 2015-11-16
KR20150116405A (ko) 2015-10-15
US20150284849A1 (en) 2015-10-08

Similar Documents

Publication Publication Date Title
KR100954258B1 (ko) 실리콘 및 유기 전구체를 이용하여 pecvd 공정에서의가스상 반응을 감소시켜 결함이 없는 초기 층을 증착하는방법
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
KR101046530B1 (ko) 저 유전 상수(κ) 필름의 후-처리 방법
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
US7718553B2 (en) Method for forming insulation film having high density
KR20190095274A (ko) 반도체 처리 장치
US9382625B2 (en) Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition
US20150368803A1 (en) Uv curing process to improve mechanical strength and throughput on low-k dielectric films
US9850574B2 (en) Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
JP2018512727A (ja) 高品質薄膜を形成するための周期的連続処理
TWI388687B (zh) 以電漿增強化學氣相沈積而成之具高機械性質的超低k薄膜的新穎矽前驅物
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
TW201528370A (zh) 用於增加硬度及模數的低k膜之以二氧化碳及一氧化碳介入的固化
US9324571B2 (en) Post treatment for dielectric constant reduction with pore generation on low K dielectric films
US20170125241A1 (en) Low temp single precursor arc hard mask for multilayer patterning application
KR102310231B1 (ko) Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들
US20240087880A1 (en) Systems and methods for depositing low-k dielectric films
US20180090311A1 (en) Boron film, boron film forming method, hard mask, and hard mask manufacturing method
JPWO2019064434A1 (ja) 半導体装置の製造方法、基板処理装置及びプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant