CN109642315A - 用于制造低k膜以填充表面特征的前体和可流动cvd方法 - Google Patents

用于制造低k膜以填充表面特征的前体和可流动cvd方法 Download PDF

Info

Publication number
CN109642315A
CN109642315A CN201780053056.5A CN201780053056A CN109642315A CN 109642315 A CN109642315 A CN 109642315A CN 201780053056 A CN201780053056 A CN 201780053056A CN 109642315 A CN109642315 A CN 109642315A
Authority
CN
China
Prior art keywords
plasma
silicon
branched chain
chain
linear chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780053056.5A
Other languages
English (en)
Inventor
李建恒
R·N·弗蒂斯
R·G·里德格韦
萧满超
雷新建
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN109642315A publication Critical patent/CN109642315A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1896Compounds having one or more Si-O-acyl linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/61Additives non-macromolecular inorganic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于沉积含硅膜的方法,所述方法包括:将包含至少一个表面特征的衬底放入温度为约‑20℃至约400℃的可流动CVD反应器中;向所述反应器中引入至少一种具有至少一个乙酰氧基的含硅化合物,以至少部分地使所述至少一种含硅化合物反应而形成可流动液体低聚物,其中所述可流动液体低聚物在所述衬底上形成氧化硅涂层并至少部分地填充所述至少一个表面特征的至少一部分。一旦固化,所述氧化硅涂层具有低k和优异的机械性能。

Description

用于制造低K膜以填充表面特征的前体和可流动CVD方法
相关申请的交叉引用
本申请要求于2016年8月30日提交的临时申请No.62/381,222和2017年8月18日提交的申请No.15/681,102的权益,其公开内容通过引用整体并入本文。
背景技术
本文描述的是用于制造电子器件的方法。更具体地,本文描述的是用于在沉积工艺(例如,可流动化学气相沉积)中形成含硅膜的组合物。可使用本文所述的组合物和方法沉积的示例性含硅膜包括氧化硅、氮化硅、氮氧化硅或碳掺杂氧化硅或碳掺杂氮化硅膜。
可流动氧化物沉积法通常使用烷氧基硅烷化合物作为含硅膜的前体,所述含硅膜通过受控的水解和缩合反应沉积。这样的膜可以沉积在衬底上,例如,通过向衬底上施加水和烷氧基硅烷的混合物,任选地与溶剂和/或其他添加剂(例如表面活性剂和致孔剂)一起。用于施加这些混合物的典型方法包括旋涂、浸涂、喷涂、丝网印刷、共缩合和喷墨印刷。在施加到衬底上之后并且在施加一种或多种能量源(例如,热、等离子体和/或其他能量源)时,混合物内的水可以与烷氧基硅烷反应以水解烷氧化物和/或芳氧化物基团和产生硅醇物质,其进一步与其他水解的分子缩合并形成低聚物或网络结构。
除了物理沉积或将前体施加到衬底之外,使用水和含硅蒸气源用于可流动电介质沉积(FCVD)的气相沉积工艺已经描述于,例如,美国专利No.7,541,297;8,449,942;8,629,067;8,741,788;8,481,403;8,580,697;8,685,867;7,498,273;7,074,690;7,582,555;7,888,233和7,915,131以及美国公布No.2013/0230987A1中,其公开内容通过引用并入本文。典型方法通常涉及通过在间隙中形成可流动液体膜而用固体介电材料填充衬底上的间隙。可流动膜通过使可具有Si-C键的电介质前体与氧化剂反应以形成电介质材料而形成。在某些实施方式中,电介质前体缩合并随后与氧化剂反应以形成电介质材料。在某些实施方式中,气相反应物反应以形成缩合的可流动膜。由于Si-C键对于与水的反应是相对惰性的,因此所得网络可以用有机官能团有益地官能化,所述有机官能团赋予所得膜期望的化学和物理性质。例如,向网络中添加碳可降低所得膜的介电常数。
使用可流动化学气相沉积工艺沉积氧化硅膜的另一种方法是气相聚合。例如,现有技术已经聚焦于使用诸如三甲硅烷基胺(TSA)的化合物沉积含有Si、H、N的低聚物,所述低聚物随后被用臭氧暴露氧化成SiOx膜。这样的方法的实例包括:美国公布No.2014/0073144;美国公布No.2013/230987;美国专利No.7,521,378,7,557,420和8,575,040;和美国专利No.7,825,040,其公开内容通过引用并入本文。
关于使用三甲硅烷基胺(TSA)的方法,TSA通常作为气体输送到反应室中,与氨混合,并在远程等离子体反应器中活化以产生NH2、NH、H和/或N自由基或离子。TSA与等离子体活化的氨反应并开始低聚以形成更高分子量的TSA二聚体和三聚体或含有Si、N和H的其他种类。将衬底放入反应器中并在特定室压和TSA/活化氨混合物下冷却至约0至约50℃的一个或多个温度,低聚物开始在晶片表面上以使得它们可以“流动”以填充沟槽表面特征的方式缩合。以这种方式,含有Si、N和H的材料沉积在晶片上并填充沟槽。在某些实施方式中,进行预退火步骤以使膜更像SiN。期望的是具有SiN材料,因为下一工艺步骤是使用臭氧或水、在100-700℃的一个或多个温度下的氧化。由于SiN键距和键角,已知当SiN被氧化成SiO2时,存在晶胞体积增加,这阻止膜收缩。
尽管涉及可流动化学气相沉积和其他膜沉积工艺的领域中的最近行动,但问题仍然继续存在。这些问题之一涉及膜组成。例如,在气相聚合工艺中由前体三甲硅烷基胺(TSA)沉积的可流动氧化物膜产生具有高密度的Si-H键和在稀HF溶液中具有比高质量热氧化物快2.2至2.5倍的湿蚀刻速率的膜。这样的膜不适合于低k膜应用。
在许多情况下,可以对可流动膜应用硬化过程,包括热退火、UV固化或离子/自由基致密化。硬化过程可以从沉积的材料中除去碳基团、羟基基团和较小分子量的物质。现在参考图1,这经常在硬化材料中留下空洞、裂缝或空间。这样的膜也不适合于低k膜应用。
因此,需要提供替代性前体化合物以通过流动CVD技术生产具有机械完整性和孔隙率以成功地起到低k含氧化硅的膜材料的功能的含硅膜。
发明内容
本文所述的组合物或制剂和使用该组合物或制剂的方法通过在至少一部分衬底表面上沉积含硅膜而克服现有技术的问题,其在沉积后处理后提供期望的膜性质。本发明可提供含硅膜,其具有:i)按照杨氏模量为约2至约15GPa,约4至约12GPa和在一些情况下约6至约10GPa的机械完整性,ii)约10至约30体积%,约12至约25体积%和在一些情况下约16至约22体积%的孔隙率(例如,如通过椭偏孔隙率测定法所测量的),和iii)约2.2至约3.0,约2.4至约2.8和在一些情况下约2.5至2.7的介电常数。
在一个方面,本文描述的发明提供了一种用于沉积含硅膜的方法,所述方法包括:将包含至少一个表面特征的衬底放入温度为约-20℃至约400℃的反应器中;向所述反应器中引入至少一种具有至少一个乙酰氧基的含硅化合物,其中所述至少一种含硅化合物选自:
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R2O)nSiHpR1 q的酰氧基烷氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R2选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
I(c)式(RCOO)m(R3R4NO)nSiHpR1 q的酰氧基氨氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;和R3选自氢、直链或支链C1-C10烷基;R4选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
向所述反应器中提供等离子体以至少部分地使所述至少一种含硅化合物反应而形成可流动液体低聚物,其中所述可流动液体低聚物在所述衬底上形成涂层并至少部分地填充所述至少一个表面特征的至少一部分。
另一方面,本发明的方法还包括在约100℃至约1000℃的一个或多个温度下对所述涂层进行热处理以使至少一部分所述涂层致密化并形成硬化层的步骤。
在又一方面,本发明的方法还包括将所述硬化层暴露于选自等离子体、红外光、化学处理、电子束或紫外光的能量以形成最终含硅膜的步骤。
本发明的另一方面涉及前体组合物,其包含至少一种具有至少一个乙酰氧基的含硅化合物,其中所述至少一种含硅化合物选自:
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R2O)nSiHpR1 q的酰氧基烷氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R2选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
I(c)式(RCOO)m(R3R4NO)nSiHpR1 q的酰氧基氨氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基,直链或支链C2-C6烯基、直链或支链C2-C6炔基;R3选自氢、直链或支链C1-C10烷基;R4选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;q=0或1,并且m+n+p+q=4。
本发明的另一方面涉及通过本发明的方法和组合物获得的膜。
本发明的其他特征和优点将通过以下对优选实施方式更详细的描述并结合通过示例的方式说明本发明的原理的附图而变得显而易见。
本发明的实施方式和特征可以单独或彼此组合使用。
附图说明
本发明将在下文中结合附图加以描述,其中相同的数字表示相同的元件:
图1是SEM显微照片,显示在衬底的沟槽中形成的现有技术的氧化硅膜,其中在硬化过程中形成空洞;
图2是SEM显微照片,显示根据本发明的方法通过二乙酰氧基二甲基硅烷与O2的沉积形成的氧化硅膜。
图3是SEM显微照片,显示根据本发明的方法在300℃下热退火5分钟后图2的氧化硅膜;和
图4是SEM显微照片,显示根据本发明的方法在400℃下UV暴露10分钟后图3的氧化硅膜。
具体实施方式
以下详细描述仅提供了优选示例性实施方式,且不旨在限制本发明的范围、适用性或配置。以下对优选示例性实施方式的详细描述而是将为本领域技术人员提供使得能够实施本发明的优选示例性实施方式的描述。在不脱离如所附权利要求中阐述的本发明的精神和范围的情况下,可以对元件的功能和布置作出各种改变。
在权利要求中,字母可用于识别要求保护的方法步骤(例如,a,b和c)。这些字母用于帮助指称方法步骤,并不旨在表示执行要求保护的步骤的顺序,除非且仅达到在权利要求中具体记载这样的顺序的程度。
本文所述的组合物或制剂和使用该组合物或制剂的方法通过在至少一部分衬底表面上沉积含硅膜而克服现有技术的问题,所述含硅膜在沉积后处理后提供期望的膜性质。
本发明涉及半导体薄膜工艺技术。描述了用于改善在各种器件结构上形态适应的介电膜的质量的方法和系统。更具体地,本发明的实施方式提供了形成具有增加的密度的氧化硅膜,以对于具有高纵横比的沟槽实现无空洞的间隙填充的方法和系统。例如,本发明尤其适用于形成用于填充窄STI沟槽的高质量氧化硅膜。
因此,在一个方面,本发明提供了一种用于沉积含硅膜的方法,所述方法包括:将包含至少一个表面特征的衬底放入温度为约-20℃至约400℃的反应器中;向所述反应器中引入至少一种具有至少一个乙酰氧基的含硅化合物,其中所述至少一种含硅化合物选自:
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R2O)nSiHpR1 q的酰氧基烷氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R2选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
I(c)式(RCOO)m(R3R4NO)nSiHpR1 q的酰氧基氨氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R3选自氢、直链或支链C1-C10烷基;R4选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
向所述反应器中提供等离子体以至少部分地使所述至少一种含硅化合物反应而形成可流动液体低聚物,其中所述可流动液体低聚物在所述衬底上形成涂层并至少部分地填充所述至少一个表面特征的至少一部分。
“可流动液体低聚物”是指在沉积条件下可流动的聚硅氧烷材料,其中该聚硅氧烷通过FTIR测量。固化后,可流动液体低聚物形成固体碳掺杂多孔OSG。
含硅膜选自氮化硅、碳化硅、氧化硅、碳掺杂氮化硅、氮氧化硅和碳掺杂氮氧化硅膜。组合物可以是预混合组合物、预混合物(在用于沉积过程之前混合)或原位混合物(在沉积过程中混合)。因此,在本公开中,术语“混合物”、“制剂”和“组合物”是可互换的。
在整个说明书中,如本文所用的术语“氧化硅”是指包含硅和氧的膜,其选自化学计量或非化学计量的氧化硅、碳掺杂氧化硅、碳氧氮化硅及其混合物。
在本发明的方法中,通常第一步是将包含至少一个表面特征的衬底放入温度为约-20℃至约400℃反应器中。合适的衬底包括但不限于半导体材料,例如砷化镓(“GaAs”)、氮化硼(“BN”)硅,以及含硅的组合物,例如晶体硅、多晶硅、非晶硅、外延硅、二氧化硅(“SiO2”)、碳化硅(“SiC”)、碳氧化硅(“SiOC”)、氮化硅(“SiN”)、碳氮化硅(“SiCN”)、有机硅酸盐玻璃(“OSG”)、有机氟硅酸盐玻璃(“OFSG”)、氟硅酸盐玻璃(“FSG”)和其他合适的衬底或其混合物。衬底可以进一步包含膜施加于其上的各种层,例如抗反射涂层、光致抗蚀剂、有机聚合物、多孔有机和无机材料、金属如铜和铝或扩散屏障层,例如TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、TiSiN、TaSiN、SiCN、TiSiCN、TaSiCN或W(C)N。本发明的有机硅酸盐玻璃膜优选能够充分粘附到至少一种前述材料以通过常规拉伸试验,例如ASTM D3359-95a条带拉伸试验。
在一些实施方式中,衬底可以是单晶硅晶片、碳化硅晶片、氧化铝(蓝宝石)晶片、玻璃片、金属箔、有机聚合物膜或者可以是聚合物、玻璃、硅或金属三维制品。衬底可以涂布有本领域公知的各种材料,包括氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、砷化镓、氮化镓等等的膜。这些涂层可以完全覆盖衬底,可以是各种材料的多个层,并且可以被部分地蚀刻以暴露下方的材料层。表面上还可以具有光致抗蚀剂材料,所述光致抗蚀剂材料已经用图案暴露并显影以部分地覆盖衬底。
在一些实施方式中,衬底包含表面特征。如本文所用,术语“表面特征”是指衬底或部分制造的衬底,其包含以下中的一个或多个:孔、沟槽、浅沟槽隔离(STI)、通孔、凹角(reentrant)特征等等。在一个特定实施方式中,表面特征具有100μm或更小,1μm宽或更小,或0.5μm宽或更小,或50nm宽度或更小的宽度。在这个实施方式或其他实施方式中,如果存在,表面特征的纵横比(深度与宽度的比率)为0.1:1或更大,或1:1或更大,或10:1或更大,或20:1或更大,或40:1或更大。
用于形成本文所述的膜或涂层的方法是可流动化学沉积法。用于本文公开的方法的合适沉积工艺的实例包括但不限于热化学气相沉积(CVD)或等离子体增强循环CVD(PECCVD)法。示例性可流动CVD反应器在US公布No.2014/0073144中公开;其通过引入并入本文。如本文所用,术语“可流动化学气相沉积法”是指其中衬底暴露于一种或多种挥发性前体的任何方法,所述前体在衬底表面上反应和/或分解以提供可流动的低聚含硅物质,然后在进一步处理时产生固体膜或材料。尽管本文中使用的前体,试剂和源有时可描述为“气态”,但应理解前体可以是通过直接蒸发、鼓泡或升华在有或没有惰性气体的情况下输送到反应器中的液体或固体。在某些情况下,蒸发的前体可以通过等离子体发生器。在一个实施方式中,膜使用基于等离子体(例如,远程生成或原位)的CVD法沉积。如本文所用的术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,衬底可以暴露于一种或多种沉积前处理,例如但不限于等离子体处理、热处理、化学处理、紫外线曝光、电子束曝光及其组合,以影响一种或多种膜性质。这些沉积前处理可在选自惰性、氧化性和/或还原性的气氛下进行。
虽然本文使用的化学试剂有时可描述为“气态”,但应理解化学试剂可以作为气体直接输送到反应器,使用载气如氮气、氦气或氩气从蒸发液体或鼓泡液体作为蒸气输送,作为来自升华固体的蒸气和/或通过惰性载气输送到反应器中。
本发明的方法包括向反应器中引入具有至少一个乙酰氧基的含硅化合物(在本文中也称为“前体”)的步骤,其中至少一种第二化合物选自下式I(a)至I(c):
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R和R1独立地选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R1O)nSiHp的酰氧基烷氧基硅烷,其中R和R1独立地选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;并且m+n+p=4;和
I(c)式(RCOO)m(R2R3NO)nSiHp的酰氧基氨氧基硅烷,其中R选自直链或支链C1-C6烷基;R2选自氢、支链C1-C10烷基和C4-C10芳基;R3选自直链或支链C1-C10烷基;m=2或3;n=1或2;p=0或1;并且m+n+p=4。
在上式和整个说明书中,术语“直链烷基”表示具有1至10、3至10或1至6个碳原子的直链官能团。在上式和整个说明书中,术语“支链烷基”表示具有3至10或1至6个碳原子的直链官能团。示例性直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可具有一个或多个与其连接的官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其他实施方式中,烷基不具有一个或多个与其连接的官能团。烷基可以是饱和的,或者是不饱和的。
在上式和整个说明书中,术语“芳基”表示具有3至10个碳原子、5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在上式和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2至12、2至10或2至6个碳原子的基团。示例性烯基包括但不限于乙烯基或烯丙基。
术语“炔基”表示具有一个或多个碳-碳三键并具有2至10或2至6个碳原子的基团。示例性炔基包括但不限于乙炔基。
在某些实施方式中,式中的一个或多个烷基或芳基可以是“取代的”或具有代替例如氢原子的取代的一个或多个原子或原子团。示例性取代基包括但不限于氧、硫、卤素原子(例如,F、Cl、I或Br)、氮、烷基和磷。在其他实施方式中,式中的一个或多个烷基、烯基、炔基、芳族基团和/或芳基可以是未取代的。
在某些实施方式中,上述式中的取代基R1、R2和R3中的任何一个或多个可以与上式中的C-C键连接,以在它们不是氢时形成环结构。如技术人员将理解的,取代基可选自直链或支链C1-C10亚烷基部分;C2-C12亚烯基部分;C2-C12亚炔基部分;C4-C10环烷基部分;和C6-C10亚芳基部分。在这些实施方式中,环结构可以是不饱和的,例如环烷基环,或者是饱和的,例如芳环。此外,在这些实施方式中,环结构也可以被取代或不取代。在其他实施方式中,取代基R1,R2和R3中的任何一个或多个未被连接。
在其中含硅前体包含式I(a)化合物的实施方式中,前体的实例包括以下:
式I(a)化合物的实例包括二乙酰氧基二甲基硅烷、二乙酰氧基甲基硅烷、三乙酰氧基甲基硅烷、二乙酰氧基二乙烯基硅烷、二乙酰氧基甲基乙烯基硅烷、三乙酰氧基乙烯基硅烷、二乙酰氧基二乙炔基硅烷、二乙酰氧基甲基乙炔基硅烷和三乙酰氧基乙炔基硅烷。
在其中含硅前体包含式I(b)化合物的实施方式中,前体的实例包括以下:
式I(b)化合物的实例包括二乙酰氧基甲氧基甲基硅烷、二乙酰氧基二甲氧基硅烷和三乙酰氧基甲氧基硅烷。
在其中含硅前体包含式I(c)化合物的实施方式中:
式I(c)化合物的实例包括二乙酰氧基二甲基氨氧基甲基硅烷、二乙酰氧基二(甲基乙基)氨氧基甲基硅烷和二乙酰氧基二乙基氨氧基甲基硅烷。
本文所述的含硅前体化合物可以以各种方式输送至反应室,例如CVD或ALD反应器。在一个实施方式中,可以使用液体输送系统。在替代性实施方式中,可以使用组合的液体输送和闪蒸工艺单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)输送,这导致可重复的输送和沉积而不使前体热分解。在液体输送配置中,本文所述的前体可以以纯液体形式输送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分,以在衬底上形成膜。
含硅前体化合物优选基本上不含卤离子,例如氯离子或金属离子如Al。如本文所用,术语“基本上不含”在其涉及卤离子(或卤化物)或金属离子例如氯化物、氟化物、溴化物、碘化物、Al3+离子、Fe2+、Fe3+、Ni2+、CR3+时是指每种卤离子或金属离子小于5ppm(按重量计),优选小于3ppm,更优选小于1ppm,最优选0ppm。已知氯化物或金属离子充当硅前体的分解催化剂。最终产物中显著水平的氯化物可以导致硅前体降解。硅前体的逐渐降解可以直接影响膜沉积过程,使得半导体制造商难以满足膜规格。此外,硅前体的较高降解速率对保质期或稳定性产生负面影响,从而使得难以保证1-2年的保质期。此外,已知硅前体在分解时形成可燃和/或自燃气体,例如氢和硅烷。包含本发明前体化合物的组合物基本上不含这样的分解产物。因此,含硅前体的加速分解带来与这些可燃和/或自燃气态副产物的形成有关的安全性和性能问题。
基本上不含卤化物的根据本发明的含硅前体可以通过(1)在化学合成期间减少或消除氯化物源,和/或(2)实施有效的纯化过程以从粗产物中除去氯化物,使得最终纯化产物基本上不含氯化物而实现。氯化物源可以通过使用不含卤化物的试剂如氯代二硅烷溴代二硅烷或碘代二硅烷而在合成过程中减少,从而避免产生含有卤离子的副产物。此外,上述试剂应基本上不含氯化物杂质,使得所得粗产物基本上不含氯化物杂质。以类似的方式,合成应不使用基于卤化物的溶剂、催化剂或含有不可接受的高水平卤化物污染的溶剂。粗产物还可以通过各种纯化方法处理,以使最终产物基本上不含卤酸根,例如氯酸根。这样的方法在现有技术中充分描述,可包括但不限于纯化方法如蒸馏或吸附。蒸馏通常用于通过利用沸点差异而分离期望产物与杂质。吸附也可用于利用组分的不同吸附性质以实现分离,使得最终产物基本上不含卤化物。吸附剂例如可商购MgO-Al2O3混合物可用于除去卤化物如氯化物。
对于涉及包含本文所述的溶剂和至少一种含硅化合物的组合物的那些实施方式,所选择的溶剂或其混合物不与硅化合物反应。组合物中按重量百分比计溶剂的量为0.5重量%至99.5%或10重量%至75%。在这个实施方式或其他实施方式中,溶剂具有与式I(a)、I(b)和I(c)的前体的沸点(b.p.)相似的沸点,或者溶剂的沸点与式I(a)、I(b)和I(c)的硅前体的沸点之间的差异为40℃或更低,30℃或更低,或20℃或更低,10℃或更低,或5℃或更低。或者,沸点之间的差异的范围开始于以下端点中的任何一个或多个:0、10、20、30或40℃。沸点差异的合适范围的实例包括但不限于0℃至40℃、20℃至30℃或10℃至30℃。组合物中合适溶剂的实例包括但不限于醚(如1,4-二噁烷、二丁醚)、叔胺(如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(如苄腈)、烷基烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(如甲苯、均三甲苯)、叔氨基醚(如双(2-二甲基氨基乙基)醚),或其混合物。
在一个特定实施方式中,引入步骤(其中将至少一种含硅化合物引入反应器中)是在-20℃至1000℃,或约400℃至约1000℃,或约400℃至约600℃,或约-20℃至约400℃范围的一个或多个温度下进行。在这些或其他实施方式中,衬底包括包含表面特征的半导体衬底。
本发明的方法包括提供原位等离子体或远程等离子体源以至少部分地使至少一种含硅化合物反应而形成可流动液体低聚物的步骤,其中可流动液体低聚物在衬底上形成涂层,并且至少部分地填充至少一个表面特征的至少一部分。能量被施加到至少一种含硅化合物、含氮源(如果采用)、氧源、其他前体或其组合以引发反应并在衬底上形成含硅膜或涂层。这样的能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生工艺可以包括直接等离子体发生工艺,其中等离子体在反应器中直接产生,或者远程等离子体发生工艺,其中等离子体在反应器外部产生并被供应到反应器中。
前体与含氧或含氮源的体积流量比可为约40:1至约0.2:1,约20:1至约1:1,并且在一些情况下约6:1至约2:1。在本发明的一个实施方式中,组合物包含本发明的含硅前体和至少一种含氧或含氮源。在本发明的另一个实施方式中,组合物包含由本发明前体和至少一种含氧或含氮源获得的低聚物。
在一个特定实施方式中,等离子体选自但不限于氮等离子体;包含氮和氦的等离子体;包含氮和氩的等离子体;氨等离子体;包含氨和氦的等离子体;包含氨和氩的等离子体;氦等离子体;氩等离子体;氢等离子体;包含氢和氦的等离子体;包含氢和氩的等离子体;包含氨和氢的等离子体;有机胺等离子体;包含氧的等离子体;包含氧和氢的等离子体,及其混合物。
在另一个实施方式中,等离子体源选自但不限于碳源等离子体,包括烃等离子体、包含烃和氦的等离子体、包含烃和氩的等离子体、二氧化碳等离子体、一氧化碳等离子体、包含烃和氢的等离子体、包含烃和氮源的等离子体、包含烃和氧源的等离子体,及其混合物。
如前所述,该方法将膜沉积在包含表面特征的衬底表面的至少一部分上。将衬底放入反应器中,并将衬底保持在约-20℃至约400℃的一个或多个温度下。在一个特定实施方式中,衬底的温度低于室的壁。衬底温度保持在低于100℃的温度,优选低于25℃的温度,最优选低于10℃且高于-20℃。
在某些实施方式中,反应器在低于大气压或750托(105帕斯卡(Pa))或更低,或100托(13332Pa)或更低的压力下。在其他实施方式中,反应器的压力保持在约0.1托(13Pa)至约10托(1333Pa)的范围内。
在等离子体能量的存在下,含硅化合物彼此反应并形成低聚物,其在衬底表面上作为液体缩合(液体低聚物)并至少部分地填充衬底上的特征。然而,直接使用如此沉积的膜可以导致太多孔并且不具有足够的机械强度的电介质。因此,本发明的某些实施方式被用于对如此沉积的氧化硅层进行进一步处理,以通过增加的密度改善膜质量并仍然实现无空洞的间隙填充。“无空洞”是指通过观察沉积和固化的膜的SEM或TEM获得的视觉确定。
在优选实施方式中,可流动液体低聚物在约100℃至约1000℃的一个或多个温度下热退火以使至少一部分材料致密化,然后在100℃至1000℃的温度下进行宽带UV处理。
为了防止空洞形成,在处理期间需要交联。例如,当加热二乙酰氧基二甲基硅烷时,失去乙酸酐分子并形成Si-O-Si键。乙酸酐分子的失去导致产生纳米级孔隙。由于在每个硅原子上存在两个乙酰氧基,交联形成导致长链。为产生三维交联,需要具有三个乙酰氧基官能团的前体。在其他实施方式中,优选加入氧化剂(O2或CO2)以产生三维交联。膜密度对于氧化硅或碳掺杂氧化硅通常为1.5至2.0g/cm3,且对于氮化硅或碳掺杂氮化硅通常为1.8至2.8g/cm3。因此,这样的膜适合用于低k材料应用。实现的介电常数k对于碳掺杂氧化硅通常为2.5至2.8,或2.5至3.0。
在某些实施方式中,所得含硅膜或涂层可以暴露于沉积后处理,例如但不限于等离子体处理,包括但不限于氢等离子体、氦等离子体、氩等离子体、氨等离子体、水(H2O)等离子体、氧等离子体、臭氧(O3)等离子体、NO等离子体、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合,化学处理,紫外线暴露,红外暴露,电子束暴露和/或其它处理以影响膜的一种或多种性质。
在一些实施方式中,热处理后材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。
上述步骤定义了本文所述方法的一个循环;并且可以重复该循环直到获得含硅膜的期望厚度。在这个实施方式或其他实施方式中,应理解本文所述方法的步骤可以以各种顺序执行,可以顺序地或同时地执行(例如,在另一步骤的至少一部分期间),及其任何组合。供应化合物和其它试剂的相应步骤可以通过改变供应它们的持续时间而进行,以改变所得含硅膜的化学计量组成。
在本发明的一个实施方式中,以下膜或特征中的至少一种可以在本发明的含硅膜上形成或沉积:i)进行平面化,ii)铜(例如,以填充通孔),和iii)介电膜。在一个方面,本发明包括衬底,所述衬底包含具有至少一个特征(例如,通孔或沟槽)的图案化结构,本发明的膜(例如,碳掺杂氧化硅)沉积在其上和包含屏障层(例如,钴、碳氮化硅、氮化硅、碳氮氧化物、TiN和TaN中的至少一种)的膜沉积在本发明的膜上。
出于进一步说明本发明的目的提供以下实施例,但决不是旨在限制本发明。
实施例
将可流动化学气相沉积(FCVD)膜沉积到中等电阻率(8-12Ωcm)的单晶硅晶片衬底和Si图案晶片上。在某些实施例中,所得含硅膜或涂层可暴露于沉积前处理,例如但不限于等离子体处理、热处理、化学处理、紫外线暴露、红外暴露、电子束暴露和/或影响膜的一种或多种性质的其他处理。
可以使用硅烷或TEOS工艺套件在Applied Materials Precision 5000系统上的改良FCVD室上进行沉积。该室具有直接液体注射(DLI)输送能力。前体是液体,其输送温度取决于前体的沸点。
为了沉积初始可流动碳掺杂氧化物膜,典型的液体前体流速为100-5000mg/min,氧气(或者二氧化碳)流速为20-40sccm,原位等离子体功率密度为0.25-3.5W/cm2,压力为0.75-12托。为了使如此沉积的可流动膜致密化,使用改良PECVD室在100至1000℃,优选300至400℃下对膜进行热退火和/或UV真空固化。通过SCI反射计或Woollam椭圆偏振仪在632nm下测量厚度和折射率(RI)。典型的膜厚度为10至2000nm。通过Nicolet透射傅立叶变换红外光谱(FTIR)设备测量和分析硅基膜的粘合性质和氢含量(Si-H,CH和NH)。使用X射线反射率(XRR)完成所有密度测量。进行X射线光电子能谱(XPS)和二次离子质谱(SIMS)分析以确定膜的元素组成。通过使用Hitachi S-4800系统的横截面扫描电镜(SEM)在2.0nm分辨率观察图案化晶片上的流动性和间隙填充效果。通过椭偏孔隙率测定法测量膜的孔隙率。
使用实验设计(DOE)方法进行可流动CVD沉积。实验设计包括:前体流量为100至5000mg/min,优选500至2000mg/min;氧气(或CO2)流为0sccm至1000sccm,优选0至100sccm;压力为0.75至12托,优选6至10托;RF功率(13.56MHz)为50至1000W,优选100至500W;低频(LF)功率为0至100W;且沉积温度为-20至400℃,优选-20℃至40℃。DOE实验用于确定哪些工艺参数产生具有良好流动性的最佳膜。
以二乙酰氧基二甲基硅烷为前体沉积低K膜
在该实验中,用于沉积具有最有利的膜性质的可流动多孔低k膜的工艺条件如下:功率=200W,间距=200密耳,压力=6至10托,温度=30至35℃,二乙酰氧基二甲基硅烷=1500至2000mg/min,He=200sccm,O2=40至60sccm。将可流动膜在300℃下热退火5分钟,然后在400℃下UV固化10分钟。
在空白衬底上获得RI为1.37和k为2.6至2.7的膜。膜的孔隙率为19至20%。加工压力为8托时,膜的模量为10.4GPa;硬度为1.84GPa。该模量和硬度与传统PECVD多孔低k膜一致。
现在参照图2,图2显示横截面SEM,表明通过二乙酰氧基二甲基硅烷与O2的沉积实现良好的间隙填充。现在参考图3,图3显示在300℃下热退火5分钟的图2的膜的横截面SEM。并在400℃下UV固化10分钟(图4)。现在参考图4。图4是SEM显示在400℃下UV暴露10分钟后的图3的氧化硅膜的显微照片。
虽然本发明的原理已在上文中结合优选实施方式描述,但应清楚理解,该描述仅通过示例的方式进行,而非作为对本发明范围的限制。

Claims (17)

1.一种用于沉积含硅膜的方法,所述方法包括:
将包含至少一个表面特征的衬底放入温度为约-20℃至约400℃的反应器中;
向所述反应器中引入至少一种具有至少一个乙酰氧基的含硅化合物,其中所述至少一种含硅化合物选自:
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R2O)nSiHpR1 q的酰氧基烷氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R2选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
I(c)式(RCOO)m(R3R4NO)nSiHpR1 q的酰氧基氨氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;和R3选自氢、直链或支链C1-C10烷基;R4选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
向所述反应器中提供原位等离子体或远程等离子体源以至少部分地使所述至少一种含硅化合物反应而形成可流动液体低聚物,其中所述可流动液体低聚物在所述衬底上形成涂层并至少部分地填充所述至少一个表面特征的至少一部分。
2.根据权利要求1所述的方法,其中所述等离子体选自基于原位或远程等离子体源的包含氮的等离子体、基于原位或远程等离子体源的包含氮和氦的等离子体、基于原位或远程等离子体源的包含氮和氩的等离子体、基于原位或远程等离子体源的包含氨的等离子体、基于原位或远程等离子体源的包含氨和氦的等离子体、基于原位或远程等离子体源的包含氨和氩的等离子体、氦等离子体、氩等离子体、氢等离子体、基于原位或远程等离子体源的包含氢和氦的等离子体、基于原位或远程等离子体源的包含氢和氩的等离子体、基于原位或远程等离子体源的包含氨和氢的等离子体、基于原位或远程等离子体源的有机胺等离子体、基于原位或远程等离子体源的包含氧的等离子体、基于原位或远程等离子体源的包含氧和氢的等离子体,及其混合物。
3.根据权利要求1所述的方法,其中所述等离子体选自基于原位或远程等离子体源的包含碳或烃的等离子体、基于原位或远程等离子体源的包含烃和氦的等离子体、基于原位或远程等离子体源的包含烃和氩的等离子体、基于原位或远程等离子体源的包含二氧化碳的等离子体、基于原位或远程等离子体源的包含一氧化碳的等离子体、基于原位或远程等离子体源的包含烃和氢的等离子体、基于原位或远程等离子体源的包含烃和氮的等离子体、基于原位或远程等离子体源的包含烃和氧的等离子体,及其混合物。
4.根据权利要求1所述的方法,其还包括在约100℃至约1000℃的一个或多个温度下对所述涂层进行热处理以使至少一部分所述涂层致密化并形成硬化层的步骤。
5.根据权利要求4所述的方法,其还包括将所述硬化层暴露于选自等离子体、红外光、化学处理、电子束或紫外光的能量以形成最终的含硅膜的步骤。
6.根据权利要求5所述的方法,其中上述步骤定义了所述方法的一个循环,并且可以重复所述循环直到获得所述含硅膜的期望厚度。
7.根据权利要求1所述的方法,其中所述至少一种具有至少一个乙酰氧基的含硅化合物包括二乙酰氧基二甲基硅烷。
8.根据权利要求1所述的方法,其中所述式I(a)的酰氧基硅烷选自:
其中R选自甲基、乙基、正丙基、异丙基、叔丁基、正丁基、仲丁基和异丁基;并且R1选自甲基、乙基、乙烯基、烯丙基和乙炔基。
9.根据权利要求1所述的方法,其中所述式I(b)的酰氧基烷氧基硅烷选自:
其中R选自甲基、乙基、正丙基、异丙基、叔丁基、正丁基、仲丁基和异丁基;R1选自甲基、乙基、乙烯基、烯丙基和乙炔基;并且R2选自甲基、乙基、正丙基、异丙基、叔丁基、正丁基、仲丁基和异丁基。
10.根据权利要求1所述的方法,其中所述式I(c)的酰氧基氨氧基硅烷是:
其中R和R1独立地选自甲基、乙基、正丙基、异丙基、叔丁基、正丁基、仲丁基和异丁基;R1选自甲基、乙基、乙烯基、烯丙基和乙炔基;并且R3和R4独立地选自甲基和乙基。
11.根据权利要求1所述的方法,其中所述含硅膜通过电容-电压测量所测定的介电常数为<3.0,通过椭偏孔隙率测定法所测量的孔隙率为>10%。
12.一种含硅膜前体,其包含至少一种含硅化合物,所述含硅化合物选自:
I(a)式(RCOO)mR1 nSiHp的酰氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;m=2或3;n=1或2;p=0或1;并且m+n+p=4;
I(b)式(RCOO)m(R2O)nSiHpR1 q的酰氧基烷氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;R2选自直链或支链C1-C6烷基;m=2或3;m=1或2;p=0或1;q=0或1,并且m+n+p+q=4;和
I(c)式(RCOO)m(R3R4NO)nSiHpR1 q的酰氧基氨氧基硅烷,其中R选自氢、直链或支链C1-C6烷基;R1选自直链或支链C1-C6烷基、直链或支链C2-C6烯基、直链或支链C2-C6炔基;和R3选自氢、直链或支链C1-C10烷基;R4选自直链或支链C1-C6烷基;m=2或3;n=1或2;p=0或1;q=0或1,并且m+n+p+q=4;
其中所述含硅化合物与等离子体反应以形成所述含硅膜。
13.根据权利要求12所述的前体,其还包含至少一种溶剂。
14.根据权利要求12所述的前体,其还包含含氧源和含氮源中的至少一种。
15.根据权利要求12所述的前体,其还包含至少一种所述含硅化合物的至少一种低聚物。
16.根据权利要求14所述的前体,其包含二乙酰氧基二甲基硅烷和至少一种含氧源。
17.一种通过根据权利要求1所述的方法在具有至少一个表面特征的衬底上获得的含硅膜,所述含硅膜通过电容-电压测量所测定的介电常数为<3.0,通过椭偏孔隙率测定法所测量的孔隙率为>10体积%。
CN201780053056.5A 2016-08-30 2017-08-25 用于制造低k膜以填充表面特征的前体和可流动cvd方法 Pending CN109642315A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662381222P 2016-08-30 2016-08-30
US62/381,222 2016-08-30
US15/681,102 US10468244B2 (en) 2016-08-30 2017-08-18 Precursors and flowable CVD methods for making low-K films to fill surface features
US15/681,102 2017-08-18
PCT/US2017/048599 WO2018044712A1 (en) 2016-08-30 2017-08-25 Precursors and flowable cvd methods for making low-k films to fill surface features

Publications (1)

Publication Number Publication Date
CN109642315A true CN109642315A (zh) 2019-04-16

Family

ID=61243296

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780053056.5A Pending CN109642315A (zh) 2016-08-30 2017-08-25 用于制造低k膜以填充表面特征的前体和可流动cvd方法

Country Status (8)

Country Link
US (3) US10468244B2 (zh)
EP (2) EP4047109A1 (zh)
JP (1) JP6849792B2 (zh)
KR (1) KR102265826B1 (zh)
CN (1) CN109642315A (zh)
SG (1) SG11201901543YA (zh)
TW (2) TWI654335B (zh)
WO (1) WO2018044712A1 (zh)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015199111A1 (ja) * 2014-06-25 2015-12-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR20220056249A (ko) * 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP3990676A4 (en) * 2019-07-25 2023-07-05 Versum Materials US, LLC COMPOSITIONS COMPRISING SILACYCLOALKANES AND METHODS USING THEM FOR DEPOSITING A FILM CONTAINING SILICON
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060063393A1 (en) * 2000-08-21 2006-03-23 Shaffer Edward O Ii Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US20140120706A1 (en) * 2012-10-25 2014-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
CN104008991A (zh) * 2013-02-27 2014-08-27 三星电子株式会社 转移半导体元件的方法和制造柔性半导体器件的方法
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20160017492A1 (en) * 2014-07-21 2016-01-21 Applied Materials, Inc. Uv assisted silylation for porous low-k film sealing
CN105401131A (zh) * 2014-08-14 2016-03-16 气体产品与化学公司 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
US20160181089A1 (en) * 2014-12-22 2016-06-23 Applied Materials, Inc. Fcvd line bending resolution by deposition modulation

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4588304B2 (ja) * 2003-08-12 2010-12-01 Azエレクトロニックマテリアルズ株式会社 コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
EP2304069A4 (en) * 2008-06-30 2012-01-04 3M Innovative Properties Co PROCESS FOR PRODUCING INORGANIC OR INORGANIC / ORGANIC HYBRID BARRIER FILMS
US9212420B2 (en) 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
WO2014158408A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc. Uv curing process to improve mechanical strength and throughput on low-k dielectric films
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9570287B2 (en) 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US20160138161A1 (en) 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060063393A1 (en) * 2000-08-21 2006-03-23 Shaffer Edward O Ii Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20140120706A1 (en) * 2012-10-25 2014-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
CN104008991A (zh) * 2013-02-27 2014-08-27 三星电子株式会社 转移半导体元件的方法和制造柔性半导体器件的方法
US20160017492A1 (en) * 2014-07-21 2016-01-21 Applied Materials, Inc. Uv assisted silylation for porous low-k film sealing
CN105401131A (zh) * 2014-08-14 2016-03-16 气体产品与化学公司 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
US20160181089A1 (en) * 2014-12-22 2016-06-23 Applied Materials, Inc. Fcvd line bending resolution by deposition modulation

Also Published As

Publication number Publication date
EP3507393A4 (en) 2020-02-26
TWI706053B (zh) 2020-10-01
US20180061636A1 (en) 2018-03-01
KR102265826B1 (ko) 2021-06-16
WO2018044712A1 (en) 2018-03-08
TWI654335B (zh) 2019-03-21
EP3507393B1 (en) 2022-04-20
JP2019528580A (ja) 2019-10-10
KR20190034356A (ko) 2019-04-01
US20220157601A1 (en) 2022-05-19
WO2018044712A8 (en) 2018-08-23
EP3507393A1 (en) 2019-07-10
US20200058496A1 (en) 2020-02-20
JP6849792B2 (ja) 2021-03-31
US11270880B2 (en) 2022-03-08
TW201920758A (zh) 2019-06-01
SG11201901543YA (en) 2019-03-28
US10468244B2 (en) 2019-11-05
EP4047109A1 (en) 2022-08-24
TW201816178A (zh) 2018-05-01

Similar Documents

Publication Publication Date Title
CN109642315A (zh) 用于制造低k膜以填充表面特征的前体和可流动cvd方法
JP6949912B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
CN110023535A (zh) 用于制造低k膜以填充表面特征的前体和可流动cvd法
CN108603287A (zh) 用于沉积含硅膜的组合物及使用其的方法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7125515B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
JP2022537057A (ja) ケイ素含有膜堆積のための組成物およびそれを用いた方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination