CN105401131A - 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物 - Google Patents

在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物 Download PDF

Info

Publication number
CN105401131A
CN105401131A CN201510702639.9A CN201510702639A CN105401131A CN 105401131 A CN105401131 A CN 105401131A CN 201510702639 A CN201510702639 A CN 201510702639A CN 105401131 A CN105401131 A CN 105401131A
Authority
CN
China
Prior art keywords
straight
branched
porous low
compound
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510702639.9A
Other languages
English (en)
Other versions
CN105401131B (zh
Inventor
李建恒
R·N·弗尔蒂斯
R·G·里奇韦
雷新建
M·L·奥尼尔
江学忠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN105401131A publication Critical patent/CN105401131A/zh
Application granted granted Critical
Publication of CN105401131B publication Critical patent/CN105401131B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及通过在多孔、低介电常数(“低k”)层的至少一个表面上提供附加薄介电薄膜(本文中称孔隙密封层)用于密封所述多孔低k层的孔隙而防止底层的介电常数的进一步损失的方法及其组合物。在一个方面,该方法包括:将多孔低介电常数薄膜接触至少一种有机硅化合物以提供吸收的有机硅化合物和采用紫外线、等离子体或两者处理该吸收的有机硅化合物,并重复进行直至形成所需厚度的孔隙密封层。

Description

在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
相关申请的交叉引用
本申请要求2014年8月14日提交的US临时申请系列No.62/037,392的优先权和利益,其通过引用全部合并于本文中。
技术领域
本文描述了一种方法和一种组合物用于在多孔、低k层的至少一个表面上提供一种附加薄介电薄膜(下称孔隙密封层)来密封所述多孔低介电常数(“低k”)层的孔隙,以避免底层介电常数的进一步损失。
背景技术
目前,集成电路(IC)制造商所面临的挑战之一是多孔、低介电常数(“低k”)材料与原子层沉积(ALD)或物理气相沉积(PVD)金属薄膜(例如,但不限于,铜、钴或其它金属或其合金)以狭窄的器件几何形状集成。当低k薄膜或层的介电常数降低到低于例如约2.5时,这些薄膜的百分孔隙率为约30%或更高。随着这些薄膜内孔隙率水平的提高,孔隙由于薄膜中孔隙的剪切数量(shearnumber)开始变得更加互联。
当这些多孔低k薄膜集成时,薄膜一般首先使用光刻胶和反应性离子蚀刻(RIE)等离子体蚀刻步骤,采用碳氟化合物和氧及任选的氢氟碳化物进行图案化。形成通道(via)和沟槽(trench)后,残余的光刻胶在等离子体(其通常是氢或氧等离子体)灰化步骤中去除。任选地,可以使用氨(NH3)替代氢(H2)或者可以使用二氧化碳(CO2)替代氧(O2)。典型的多孔低k薄膜由多孔有机硅酸盐(OSG)组成。在蚀刻步骤和灰化步骤的任一或两者中,多孔OSG薄膜一般以其中接近表面的与薄膜中的Si键合的甲基基团或者Si-Me基团通过与扩散到多孔薄膜内的中性自由基反应而被除去的方式受到损伤。在某些情况下,Si-Me基团形成Si-OH,其对薄膜的疏水性产生负面影响。光刻胶去除后,通道底部处金属薄膜顶部的屏障氮化物通常在“穿通”步骤中去除,以快速去除SiCN屏障氮化物且暴露金属层。
通常,下一步是沉积屏障或屏障层来防止金属扩散到特征中。屏障层的实例具有氮化钽(TaN)层及沉积在TaN层上的金属钽(Ta)层。虽然TaN和Ta层均通过物理气相沉积(PVD)或溅射来沉积,随着收缩特征尺寸和对于更薄屏障层(如铜)的需求,存在从PVDTaN到原子层沉积(ALD)TaN的转换。OSG薄膜中孔隙提高的互联性以及等离子体损伤导致用来沉积ALD铜屏障的金属前体(如,用于ALD氮化钽的五(二甲基氨基)钽,Ta(NMe2)5)扩散到多孔低k介电薄膜内,这对薄膜的绝缘性能带来不利影响。为了防止在ALD过程中含金属前体扩散到多孔OSG中,需要在ALD工艺前密封多孔OSG薄膜的表面。然而,由于其中孔隙暴露的沟槽或通道特征的狭窄性(如沟槽宽度小于20nm),需要这种孔隙密封层占据尽可能小的空间。如果孔隙密封发生在多孔低k如OSG层的表面处或靠近该表面的孔隙内部,使得在多孔低k薄膜顶部上生长最少孔隙密封层,从而最小化沟槽/通道宽度的损失,那么将是同样有利的。
U.S公开号No.2013/0337583描述了一种修补介电常数薄膜的工艺相关损伤的方法,其包括(i)在没有反应性物质的情况下在损伤的介电薄膜的表面上吸附含硅的第一气体而不沉积薄膜;(ii)在损伤的介电薄膜的表面上吸附含有硅的第二气体,接着施加反应性物质到薄膜的表面以在其上形成单层;和(iii)重复步骤(ii)。步骤(i)中暴露表面的时间长度比步骤(ii)中表面暴露于第二气体的时间长度要长。
U.S.专利号No.8,236,684描述了一种用于处理通过致密介电层覆盖的多孔介电层的方法和设备。介电层图案化,且致密介电层保形地沉积在衬底上。致密保形介电层密封多孔介电层的孔隙而阻止与可能渗入孔隙的物质的接触。
U.S.公开号No.2014/0004717描述了一种用于通过将多孔低-k介电层暴露于含乙烯基硅烷的化合物和任选地将多孔低-k介电层暴露于紫外(UV)固化工艺而修复和降低该低k介电层的介电常数的方法。
在开发密封多孔低-k层中的孔隙的方法中存在诸多需要克服的挑战。首先,由于通道底部的金属(如,铜、钴、其它金属或其合金)层暴露于孔隙密封工艺,因此在孔隙密封层的沉积过程中应当避免氧化环境。其次,希望的是在多孔低-k层上/中选择性地沉积孔隙密封层而不在金属顶部沉积层,这对于目前的工艺是一个挑战;最后,由于低-k材料的孔隙需要密封,因此必须选择孔隙密封材料以保持该层的介电常数或者至少不明显提高介电常数,以使得多孔低-k层(具有沉积于其上的孔隙密封层或密封的多孔低-k层)的介电常数保持在3.0或更小,或者2.9或更小,或者2.7或更小,或者2.5或更小,或者2.4或更小,或者2.3或更小,或者2.2或更小,或者2.1或更小。因此,仍然存在对于密封在图案化的多孔低-k层(例如但不限于多孔OSG层)的通道中孔隙的工艺的需要,其解决了这些挑战中的一个或多个。
发明概述
本发明通过提供密封下层多孔低-k薄膜的受损孔隙的薄介电薄膜或孔隙密封层而满足了上述一个或多个需要,且其中孔隙密封层提供如下的一个或多个:(a)如通过多孔低-k薄膜的成分分析测量的,防止屏障金属扩散进入多孔低-k薄膜内;(b)最小化下层多孔低-k薄膜的介电常数改变,即,在孔隙密封层沉积于其上之前多孔低-k薄膜的介电常数和孔隙密封层沉积于其上之后介电常数之间的差异为0.5或更小,0.4或更小,0.3或更小,0.2或更小,0.1或更小;及(c)在多孔低-k薄膜上相对于金属(如铜、钴或者其它金属或其合金)层选择性沉积,即在多孔低-k薄膜上孔隙密封层的沉积速率相比于金属或铜层上孔隙密封层的沉积速率高约8倍到约10倍,或高约5倍到8倍,或高约2倍到5倍。
在一个方面,提供了一种用于形成孔隙密封层的方法,该方法包括如下步骤:
a.在反应器内提供具有多孔低介电常数层的衬底;
b.将衬底与选自具有以下式A至G的化合物的组的至少一种有机硅化合物接触,以在多孔低k介电层的至少一部分表面上提供吸收的有机硅化合物:
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥;和R7选自C2-C10烷基二基(alkyldi-radical),其与硅原子形成四元、五元或六元环,其中m=0、1或2,和n=0、1或2;
c.用吹扫气体吹扫所述反应器;
d.将等离子体引入所述反应器中以与吸收的有机硅化合物反应,和
e.用吹扫气体吹扫所述反应器,其中重复步骤b至步骤e直至在所述表面上形成所需厚度的孔隙密封层并提供密封的介电常数层。在某些实施方案中,多孔低介电常数层具有第一介电常数,和密封后的低介电常数层具有第二介电常数,且第一介电常数和第二介电常数之间的差异为0.5或更小。在这个或其它实施方案中,多孔低介电常数层进一步包含金属,且其中孔隙密封层在所述多孔低介电薄膜上的第一沉积速率与孔隙密封层在所述金属上的第二沉积速率相比高2倍到高10倍。
附图简要说明
图1(a)和(b)提供了包含按照实施例1中描述的方法涂覆有孔隙密封层的多孔低k介电薄膜的图案化晶片的侧壁的透射电子显微镜(TEM)图像。图1(a)和(b)显示了Ta2O5层和多孔低k介电层之间清晰的界面,其表明孔隙密封层的良好孔隙密封效果。
图2(a)、2(b)和2(c)提供了从如实施例1中所描述的采用有机硅化合物三甲氧基甲基硅烷沉积的孔隙密封层和采用五(二甲基氨基)钽沉积的Ta2O5层涂覆的图案化晶片的侧壁获得的能量分散X-射线光谱(EDX)图像。在多孔低k介电层中没有检测到Ta。
详细说明
本文描述了一种组合物和使用该组合物的方法,其中采用包含在多孔、低介电常数(低k)或有机硅酸盐玻璃(OSG)薄膜或层中的暴露SiOH基团(其从如下制备工艺中的一个或多个保留在薄膜上:蚀刻、灰化、平面化和/或其组合)用作孔隙密封薄膜或层的等离子体增强原子层沉积(ALD)的锚点(anchor)。示例的低kOSG薄膜通过化学气相沉积(CVD)工艺采用含硅前体二乙氧基甲基硅烷(如由AirProductsandChemicals提供的前体)和随后采用热退火、紫外(UV)固化步骤或者其组合从低k薄膜去除的致孔剂(porogen)前体沉积。术语“低介电常数薄膜”或“低k薄膜”意思是介电常数为3.0或更低,或2.7或更低,或2.5或更低,或2.3或更低的低k薄膜如多孔OSG薄膜。在某些实施方案中,多孔低k薄膜或层包含由如下键中的至少一种或多种组成的笼和网络结构:Si-O、Si-CH3和Si-CHx键,并进一步包含孔隙或空隙。在这一实施方案或其它实施方案中,此处描述的低k薄膜进一步含有如通过椭圆孔隙率测量法(ellipsometricporosimetry)测量的至少15%或更高,至少20%或更高,至少25%或更高,或至少30%或更高的百分孔隙率。术语“损伤的多孔低介电薄膜”或“损伤的低k薄膜”意思是经历如下制备工艺中的一个或多个的低k薄膜(如多孔OSG薄膜):刻蚀、灰化、平面化和/或以其组合。
在本方法中,具有损伤的多孔低k层的衬底被放置在反应器或沉积室中。然后,损伤的多孔低k介电层的至少一部分表面(如,例如蚀刻通道的水平表面)与由选自本文所述的具有如下式A到G中一个或多个的组的至少一种组成的有机硅化合物接触,以在一部分表面上提供吸收的有机硅层。然后,低k多孔层采用选自紫外(UV)光、等离子体的至少一种或者两者进行处理,所述等离子体包括至少一种选自氮(N2)、氩(Ar)、氦(He)、氢(H)、氨(NH3)或其组合的等离子体。重复接触和处理的工艺步骤直至在多孔低k层的至少一部分表面上形成所需厚度的孔隙密封层。结果,多孔低k层中的开放孔隙被密封。用于在多孔低k介电层的至少一部分表面上形成孔隙密封层的示例性沉积方法包括,但不限于,等离子体增强原子层沉积工艺(PEALD)、等离子体增强循环化学气相沉积(PECCVD)和等离子体增强ALD样工艺。
本发明的其它实施方案中,低k层的表面采用具有式A的具有至少一个烷氧基的有机硅化合物进行处理:
(R4O)3-mSiR2R3 m
A
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;和其中,m=0、1或2。具有式A的示例性化合物包括但不限于三甲氧基甲基硅烷、二甲氧基二甲基硅烷、三乙氧基甲基硅烷、二乙氧基二甲基硅烷、三甲氧基硅烷、二甲氧基甲基硅烷、二-异丙基二甲氧基硅烷、二乙氧基甲基硅烷、二甲氧基乙烯基甲基硅烷、二甲氧基二乙烯基硅烷、二乙氧基乙烯基甲基硅烷和二乙氧基二乙烯基硅烷。在其中损伤的、多孔低k薄膜与式A有机硅化合物接触以在多孔低k薄膜的至少一部分上形成吸收的有机硅化合物的实施方案中,衬底随后采用包含选自氩(Ar)、氦(He)、氢(H)或其组合的等离子体的至少一种的等离子体进行处理,该等离子体引入到反应器中以促进进一步的反应和形成更多Si-O-Si键。将有机硅化合物和多孔低k层的至少一部分表面接触和用等离子体处理的工艺步骤重复进行直至获得所需厚度的孔隙密封层。结果,下层多孔低k层中的开放孔隙被密封以提供密封的多孔低介电常数或多孔低k层。
下述流程1提供了本文描述的方法的实施方案,其中多孔低k层的至少一部分表面与具有式A(其中R2是乙烯基基团)的有机硅化合物接触以经由有机硅化合物的有机氨基基团与Si-OH的反应在表面上锚定含乙烯基的硅片段并提供吸收的有机硅化合物。然后该表面采用紫外线、由氩(Ar)、氦(He)、氢(H)或其组合组成的等离子体或这两者进行处理,以采用紫外线(UV)和/或等离子体激活锚定的含乙烯基硅片段和Si-H之间的反应并产生至少一个Si-CH2CH2-Si键。将有机硅化合物与多孔低k层的至少一部分表面接触和用UV等离子体进行处理或这两者的工艺步骤重复进行直至形成所需厚度的孔隙密封层。因此,低k层中的开放孔隙被密封以提供密封的多孔低介电常数或多孔低k层。
流程1
在本文描述的方法的另一实施方案中,多孔低k层和具有以下式B的有机硅化合物接触,该有机硅化合物具有至少一个烷氧基基团和Si-O-Si键:
(R4O)3-nR2 nSi-O-SiR2 n(OR4)3-n
B
其中R2选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;且其中n=0、1或2,以在至少一部分表面上提供吸收的有机硅化合物。具有式B的示例性化合物包括但不限于1,1,3,3-四甲氧基-1,3-二甲基二硅氧烷、1,1,3,3-四乙氧基-1,3-二甲基二硅氧烷、1,3-二甲氧基-1,1,3,3-四甲基二硅氧烷和1,3-二乙氧基-1,1,3,3-四甲基二硅氧烷。衬底随后采用UV、包含选自氩(Ar)、氦(He)、氢(H)或其组合的至少一种的等离子体或者这两者进行处理,其随后引入到反应器中以促进进一步的反应和形成更多的Si-O-Si键。将有机硅化合物和多孔低k层的表面接触和用紫外线(UV)和/或等离子体处理的工艺重复进行直至获得所需厚度的孔隙密封层。结果,下层多孔低k层中的开放孔隙被密封以提供密封的多孔低介电常数或多孔低k层。
在本文描述的方法的另一实施方案中,多孔低k层和以下式C所示的具有至少一个羧基的有机硅化合物接触:
(R4COO)3-mSiR2R3 m
C
其中R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;且其中m=0、1或2。具有式C的示例性化合物包括但不限于二甲基二乙酰氧基硅烷和甲基三乙酰氧基硅烷。衬底随后采用UV、包含选自氩(Ar)、氦(He)、氢(H)或其组合的至少一种的等离子体或这两者进行处理,其被引入到反应器中以促进进一步的反应和形成更多Si-O-Si键。将有机硅化合物与多孔低k层的表面接触和用紫外线(UV)和/或等离子体处理的工艺重复进行直至获得所需厚度的孔隙密封层。结果,下层多孔低k层中的开放孔隙被密封。
在本文描述的方法的另一实施方案中,多孔低k层和如以下式D所示的具有至少一个羧基和具有Si-O-Si键的有机硅化合物接触:
(R4COO)3-nR2 nSi-O-SiR2 n(OOCR4)3-n
D
其中R2和R3选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;且其中n=0、1或2。具有式D的示例性化合物包括但不限于1,1,3,3-四乙酰氧基-1,3-二甲基二硅氧烷和1,3-四乙酰氧基-1,1,3,3-四甲基二硅氧烷。衬底随后采用UV处理、包含选自氩(Ar)、氦(He)、氢(H)或其组合的至少一种的等离子体或这两者进行处理,其被引入到反应器中以促进进一步的反应和形成更多Si-O-Si键。将有机硅化合物与多孔低k层的表面接触和用紫外线(UV)和/或等离子体处理的工艺重复进行直至获得所需厚度的孔隙密封层。结果,下层多孔低k层中的开放孔隙被密封。
在本文描述的方法的另一实施方案中,多孔低k层与如下述式E所示的具有至少一个烷氧基的有机硅化合物接触,:
其中R2选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R7选自C2-C10烷基二基,其与硅原子形成四元、五元或六元环。在式E的一个特定实施方案中,R2选自氢、甲基或乙基,而R4选自甲基、乙基、丙基和丁基。具有式E的示例性化合物包括但不限于1-甲基-1-甲氧基-1-硅杂环戊烷、1-甲基-1-乙氧基-1-硅杂环戊烷、1-甲基-1-异丙氧基-1-硅杂环戊烷、1-甲基-1-正丙氧基-1-硅杂环戊烷、1-甲基-1-正丁氧基-1-硅杂环戊烷、1-甲基-1-仲丁氧基-1-硅杂环戊烷、1-甲基-1-异丁氧基-1-硅杂环戊烷、1-甲基-1-叔丁氧基-1-硅杂环戊烷、1-甲氧基-1-硅杂环戊烷、1-乙氧基-1-硅杂环戊烷、1-甲基-1-甲氧基-1-硅杂环丁烷、1-甲基-1-乙氧基-1-硅杂环丁烷、1-甲氧基-1-硅杂环丁烷和1-乙氧基-1-硅杂环丁烷。衬底随后采用UV、包含选自氩(Ar)、氦(He)、氢(H)或其组合的至少一种的等离子体或这两者进行处理,其被引入到反应器中以促进进一步的反应和形成更多Si-O-Si键。将有机硅化合物与多孔低k层的表面接触和用紫外线(UV)和/或等离子体处理的工艺重复进行直至获得所需厚度的孔隙密封层。结果,下层多孔低k层中的开放孔隙被密封。
在本文描述的方法的另一实施方案中,多孔低k层和如下述式F所示的具有至少一个烷氧基的有机硅化合物接触:
(R4O)3-nR2 nSi-R5-SiR2 n(OR4)3-n
F
其中R2独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥(例如,但不限于,含有1、2或3个碳原子的基团,例如但不限于亚甲基或亚乙基桥);且其中n=0、1或2。具有式F的示例性化合物包括但不限于1,2-双(二甲氧基甲基甲硅烷基)甲烷、1,2-双(二乙氧基甲基甲硅烷基)甲烷、1,2-双(二甲氧基甲基甲硅烷基)乙烷、1,2-双(三甲氧基甲硅烷基)乙烷和1,2-双(二乙氧基甲基甲硅烷基)乙烷。
在本文描述的方法的另一实施方案中,多孔低k介电层的表面和具有Si-O-Si键的下述式G的具有至少一个有机氨基锚定基团的有机硅化合物接触:
(R3R4N)3-nR2 nSi-O-SiR2 n(NR3R4)3-n
G
其中R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;且其中n=0、1或2。具有式G的示例性化合物包括但不限于1,3-二甲基氨基-1,1,3,3-四甲基二硅氧烷、1,3-二乙基氨基-1,1,3,3-四甲基二硅氧烷和1,3-二异丙基氨基-1,1,3,3-四甲基二硅氧烷。下述流程2提供了本文描述的方法的实施方案,其中损伤的多孔低k薄膜与具有式G和具有至少一个锚定基团的有机硅化合物接触,该至少一个锚定基团与损伤的多孔低k介电薄膜中暴露的Si-OH基团反应以允许密封开放孔隙。
流程2
在这一实施方案或其它实施方案中,多孔低k介电薄膜用UV、由选自氩(Ar)、氦(He)、氢(H)或其组合的至少一种组成的等离子体进行处理,其被引入到反应器中以促进进一步反应而形成更多的Si-O-Si键。将有机硅化合物与低k层的表面接触和用等离子体处理的工艺步骤重复进行直至形成所需厚度的孔隙密封层。因此,下层多孔低k介电薄膜中的开放孔隙被密封。
在此处描述的式及整个说明书中,术语“烷基”表示分别具有1到10或3到10个碳原子的直链或支链官能团。示例性直链烷基基团包括但不限于甲基(Me)、乙基(Et)、丙基(n-Pr)、丁基(n-Bu)、戊基和己基。示例性支链烷基基团包括但不限于异丙基(异-Pr或iPr)、异丁基(iBu)、仲丁基(sBu)、叔丁基(tBu)、异戊基、叔戊基(叔戊烷基)、异己基和新己基。在某些实施方案中,烷基基团可以被连接于其上的一个或多个官能团取代,所述官能团例如但不限于,烷氧基、二烷基氨基或其组合。在其它实施方案中,烷基不具有连接于其上的一个或多个官能团或杂原子。
在此处描述的式及整个说明书中,术语“环烷基”表示具有3到10或4到10个碳原子或5到10个碳原子的环状官能团。示例性环烷基基团包括但不限于环丁基、环戊基、环己基和环辛基。
在此处描述的式及整个说明书中,术语“芳基”表示具有5到12个碳原子或6到10个碳原子的芳香环状官能团。示例性芳基包括但不限于苯基、苯甲基、氯代苯甲基、甲苯基和邻二甲苯基。
在此处描述的式及整个说明书中,术语“烯基”表示具有一个或多个C-C双键并且具有2到10个或3到6个或3到4个碳原子的基团。
在此处描述的式及整个说明书中,术语“炔基”表示具有一个或多个C-C三键并且具有2到10个或3到6个或3到4个碳原子的基团。
在此处描述的式及整个说明书中,术语“烷氧基”表示通过去除质子由醇衍生的基团。示例性的烷氧基包括但不限于,甲氧基、乙氧基、异-丙氧基、正丙氧基、叔-丁氧基、仲-丁氧基、异-丁氧基。
在此处描述的式及整个说明书中,术语“羧基”表示通过去除质子从羧酸衍生的基团。示例性的羧基包括但不限于,乙酰氧基(MeCOO)。
在此处描述的式及整个说明书中,术语“亚烷基桥”表示从具有1到10个碳原子,优选1到4个碳原子的烷基衍生的二基。示例性的亚烷基桥包括,但不限于,-CH2-(亚甲基)、-CH2CH2-(亚乙基)、-CH(Me)CH2-(异亚丙基)、-CH2CH2CH2-(亚丙基)。
在此处描述的式及整个说明书中,术语“环烷基”表示具有3到10或4到10个碳原子或5到10个碳原子的环状官能团。示例性的环烷基基团包括但不限于环丁基、环戊基、环己基和环辛基。在以上式及整个说明书中,本文中使用的术语“不饱和的”意思是官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的例子可以是,但不限于,芳香环,如苯基环。术语“饱和的”意思是官能团、取代基、环或桥不具有一个或多个双键或三键。
在某些实施方案中,烷基、烯基、炔基、环状基团和/或芳基中的一种或多种可以被取代,或具有一种或多种原子和原子团(如官能团)取代例如氢原子。示例性的取代基包括但不限于氧、硫、卤原子(如,F、Cl、I或Br)、氮和磷。进一步的示例性取代基,烷基可以具有一种或多种与其连接的官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其它实施方案中,本文中描述的式中烷基、烯基、炔基、环状基团和/或芳基中的一种或多种不具有一种或多种与其连接的官能团。
在上述的方法中,尽管不受理论的限制,但据信孔隙密封层相对于金属(如铜、钴或其合金)选择性地沉积在多孔低k介电层的至少一部分上,因为分子由于与-OH反应锚定到薄膜表面,在还原气氛中-OH不存在于金属表面上。因此,金属表面不能发生沉积,从而导致对于多孔低k介电层的良好选择性。对于孔隙密封层相对于金属(如铜)沉积到多孔低k薄膜上的选择性,优选孔隙密封层在多孔低k薄膜上相对于金属的沉积速率在下述一个或多个端点的范围内:高约2倍、高约3倍、高约4倍、高约5倍、高约6倍、高约7倍、高约8倍、高约9倍和高约10倍。示例性的范围包括但不限于以下:高约8倍到约10倍,或高约5倍到约8倍,或高约2倍到约5倍。在这一实施方案或其它实施方案中,多孔低介电常数层进一步含有金属,并且其中孔隙密封层在多孔低介电薄膜上的第一沉积速率与孔隙密封层在层的金属部分上的第二沉积速率相比高2倍到10倍。
预期在本文描述的方法经过约10到30次循环后开放孔隙将被密封。应理解的是,沉积到低k介电薄膜上的最终孔隙密封层相对薄,或者其厚度为约5纳米(nm)或更小,4nm或更小,3nm或更小,2nm或更小,或1nm或更小,或0.5nm或更小。
最小的介电常数变化可能是孔隙密封层必需的,以最小化对于基于下层多孔低k介电层的器件的电性能的影响。介电常数k的变化(即,在施加孔隙密封层之前和之后(或密封介电电子器件)的多孔低k介电薄膜的介电常数之间的差异)是0.5或更小,0.4或更小,0.3或更小,0.2或更小,0.1或更小。在某些实施方案中,多孔低介电常数层具有第一介电常数,和密封的低介电常数层具有第二介电常数,且第一介电常数和第二介电常数之间的差异是0.5或更小,0.4或更小,0.3或更小,0.2或更小,0.1或更小,或者0.05或更小。
ALD样工艺在本文中定义为循环CVD工艺,其在多孔低k介电薄膜的至少一部分上提供高保形孔隙密封层。孔隙密封层可以由含硅薄膜(如非晶硅、氧化硅、碳掺杂的氧化硅、碳氮化硅、氮化硅)组成。在某些实施方案中,孔隙密封层的百分不均匀性为5%或更小,沉积速率为每循环或更大,或两者。
本文描述的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的吹扫气体包括但不限于:氩(Ar)、氮(N2)、氦(He)、氖(Ne)、氢(H2)及其混合物。在某些实施方案中,吹扫气体(如Ar)以约10到约2000sccm的流速范围供应到反应器中约0.1-1000秒,从而吹扫可能保留在反应器中的未反应材料和任何副产物。
能量应用于至少一种有机硅化合物以引发反应和在衬底上形成孔隙密封薄膜或涂层。这种能量可以由热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X-射线、电子束、光子、远程等离子体方法及其组合来提供,但不限于此。在某些实施方案中,第二RF源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方案中,等离子体发生工艺可以包括直接等离子体发生工艺(其中等离子体在反应器中直接产生)或者可替代地远程等离子体发生工艺(其中等离子体在反应器外部产生并供应到反应器中)。
有机硅化合物前体和/或其它含硅前体可以多种方式输送到反应器。在一个实施方案中,可以采用液体输送系统。在替代的实施方案中,可以采用组合的液体输送和闪蒸工艺单元,如,Shoreview,MN的MSP公司制造的涡轮增压蒸发器,以使得低挥发性材料能够定容地输送,其导致可重现的输送和沉积而没有前体的热分解。在液体输送方式中,本文中描述的前体可以以纯液体形式输送,或者可选地可以以包含该前体的溶剂制剂或组合物使用。因此,在某些实施方案中,前体制剂可以包括具有可能希望的适宜性能的、并且对于在衬底上形成薄膜的给定最终用途应用有利的溶剂组分。
在某些实施方案中,本文描述的方法在PECVD/PEALD平台上使用循环工艺进行。硅晶片接受器保持在约100到约400℃或约200到约300℃范围的一个或多个温度下。液态有机硅化合物以50-5000mg/min(优选200-300mg/min)的速率输送到真空下的反应器中,腔室节流阀关闭。在化合物的液流关闭后,使晶片与化合物接触或“浸”入具有1~8Torr(优选2~4Torr)压力的前体蒸气的反应器中。节流阀随后打开,惰性气体吹扫约10到约300秒或约30到50秒范围的时间。然后,晶片在反应器中采用UV、含有反应物气体如N2、He、Ar、H2的等离子体、含有惰性气体(He、Ar)的等离子体处理并与吸附的有机硅前体反应而同时制备生长薄膜的表面用于与下一脉冲反应或与有机硅化合物接触。处理步骤中等离子体的功率范围为50到3000W,优选200~300W,等离子体暴露时间为10~60秒(sec.),优选15秒。这一事件序列完成一个工艺循环,其重复10~30次以提供孔隙密封层。
在一个实施方案中,提供了通过等离子体增强原子层沉积工艺(PEALD)、等离子体增强循环化学气相沉积(PECCVD)或等离子体增强ALD样工艺形成孔隙密封层的方法。在这个实施方案中,该方法包括如下步骤:
a.在反应器内提供具有多孔低介电常数层的衬底;
b.将衬底与选自具有以下式A至G的化合物的组的至少一种有机硅化合物接触:
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥;和R7选自C2-C10烷基二基,其与硅原子形成四元、五元或六元环,且其中m=0、1或2和n=0、1或2,以在多孔低介电常数层的至少一部分表面上提供吸收的有机硅化合物;
c.用吹扫气体吹扫所述反应器;
d.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;和
e.用吹扫气体吹扫所述反应器,其中步骤b至步骤e重复进行直至表面上形成所需厚度的孔隙密封层。
在再另一方面,提供了通过等离子体增强原子层沉积工艺(PEALD)、等离子体增强循环化学气相沉积(PECCVD)或等离子体增强ALD样工艺形成孔隙密封层的方法,该方法包括如下步骤:
a.在反应器内提供具有多孔低介电常数层的衬底;
b.将衬底与选自具有以下式A至G的化合物的组的至少一种有机硅化合物接触:
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥;和R7选自C2-C10烷基二基,其与硅原子形成四元、五元或六元环,且其中m=0、1或2和n=0、1或2,以在多孔低介电常数层的至少一部分表面上提供吸收的有机硅化合物;
c.用吹扫气体吹扫所述反应器;
d.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;和
e.用吹扫气体吹扫所述反应器;
f.将具有式A至G的至少一种有机硅化合物引入所述反应器中,其中该至少一种有机硅化合物不同于方法的步骤b)中的至少一种有机硅化合物;
g.用吹扫气体吹扫所述反应器;
h.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;
i.用吹扫气体吹扫所述反应器,其中步骤b至步骤i重复进行直至获得所需厚度的薄膜。在一些实施方案中,在步骤f前,将步骤b到e重复一些循环。在一个特别的实施方案中,具有Si-H键的有机硅化合物(如二乙氧基甲基硅烷)在步骤b中使用以使得铜氧化物还原为铜金属,因此有助于孔隙密封层在多孔低k介电层的表面上选择性沉积。
实施例
一般孔隙密封层沉积实验和结果
如US公布号No.2007/0299239中描述的,在200毫米(mm)晶片上进行用于沉积不同类型的孔隙密封层以及不同沉积操作的多个实验,在该晶片上从结构形成剂二乙氧基甲基硅烷(DEMS)前体和致孔剂前体环辛烷沉积介电常数为2.2的多孔二乙氧基甲基硅烷薄膜层,并紫外(UV)固化。
用于沉积孔隙密封层的所有方法在AppliedMaterialsPrecision5000系统上,在配备有AstronEX远程等离子体发生器的200mmDXZ腔室中采用硅烷或TEOS工艺试剂盒进行。等离子体增强化学气相沉积(PECVD)室配备了直接液体注射(DLI)输送能力。前体在输送温度下是液体且取决于前体的沸点。低k晶片用短NH3等离子体损伤以提供“损伤的多孔低k介电薄膜”,短NH3等离子体从孔隙的表面去除一部分Si-Me基团达到50nm的深度以模拟通过蚀刻或灰化引起的集成损伤。具有损伤的多孔低k介电薄膜的晶片采用孔隙密封层进行密封,其通过在PECVD设备上采用等离子体增强原子层沉积(PEALD)工艺沉积。
厚度及632nm下的折射率(RI)通过反射仪(SCI-2000)和椭率计(J.A.WoollamM2000UI)进行测量。确定孔隙密封层是否成功的一个测试是椭圆孔隙率(EP)测试。EP测试监测晶片颜色变化和椭率光谱移动(ellipsometricspectrumshift),其通过扩散进入未密封孔隙中的甲苯蒸汽引起。孔隙密封层的厚度通过X射线反射率(XRR)、X射线光电子能谱(XPS)剖面图和透射电子显微镜(TEM)进行分析。采用ALD和分别使用前体五(二甲基氨基)钽和NH3或H2O在晶片上沉积一层氮化钽(TaN)或氧化钽(Ta2O5)。TaN或Ta2O5的厚度通过X射线荧光(XRF)进行测量。铜选择性通过重复孔隙密封层在裸露的铜(Cu)晶片上的沉积和采用能量分散X射线光谱学(EDX)和XPS测量孔隙密封层的厚度,且然后比较相应厚度(如,在损伤的多孔低k介电薄膜上的沉积孔隙密封层的厚度相对于在裸露的铜晶片上沉积的孔隙密封层的厚度)进行测定。
在这些实验中,用于形成孔隙密封层的不同有机硅前体在下述条件下进行测试。具有初始介电常数2.2的PDEMS薄膜在300℃下用300WNH3等离子体损伤15秒以提供用于下述实施例中的损伤的多孔低k薄膜。有机硅前体化合物在约200℃到约300℃范围的一个或多个温度下以300毫克每分钟(mg/min)的速率流入反应器中1分钟(min),节流阀关闭。晶片接触或浸泡在前体蒸气中2分钟,且然后腔室采用氦气吹扫2分钟。然后,样品以200瓦(W)的功率设定暴露于氦等离子体15秒。工艺步骤然后被重复大约10个到大约30个循环。
实施例1:采用具有式A的有机硅化合物三甲氧基甲基硅烷形成孔隙密封层
在本实施例中,申请人通过在工艺中采用非含氮前体或气体来保持孔隙密封层的介电常数相对低。申请人还排除了使用氧或其他氧化剂以防止铜表面的氧化。损伤的多孔低k薄膜与有机硅化合物三甲氧基甲基硅烷(C4H12O3Si)接触,并采用氦等离子体进行处理。在每个循环中,在有机硅前体化合物流入反应器后,200瓦的He等离子体冲击15秒,使之浸泡到损伤的多孔低k介电薄膜的表面上,且然后吹扫。该过程重复约10到30次以提供孔隙密封层。孔隙密封层被认为是有效的,因为没有甲苯扩散进入损伤的多孔低k薄膜中,如通过30次循环处理后未观察到甲苯蒸气扩散所致的椭率光谱移动或颜色变化所证明的。然后,Ta2O5层随后用10个处理循环沉积到晶片上,所述晶片具有沉积于其上的孔隙密封层。在沉积含Ta层后,如通过X射线荧光(XRF)测试的,没有Ta扩散到孔隙中的迹象。因此,损伤的孔隙在与三甲氧基甲基硅烷接触和用He等离子体处理的10个循环后通过形成孔隙密封层进行密封。
为验证孔隙密封层的沉积速率,孔隙密封工艺进行60个循环。孔隙密封层的薄膜厚度为~5.8纳米(nm),其表明沉积速率小于每循环。孔隙密封层的介电常数为约3.2至约3.4,其在孔隙密封后不会明显提高k。
采用三甲氧基甲基硅烷如上文所述在Cu衬底上进行孔隙密封层的单独沉积。这些沉积显示在Cu上的一些选择性:通过在裸露的铜上10个循环的处理,通过XPS剖面图检测到孔隙密封层的小于3埃厚的SiO2。因此,当与沉积在损伤的多孔低k介电薄膜上的孔隙密封层相比时显示出Cu上3∶1的选择性。
孔隙密封层的10个循环的沉积(如,暴露于前体、吹扫和然后暴露于等离子体)也在图案化的OSG低k薄膜上进行,然后进行ALDTa2O5沉积。图1a和1b提供了显示衬底的侧壁的TEM图像,其中1是碳层,2是Ta2O5层,和3是多孔低k介电层。在项目2和3之间的孔隙密封层太薄而不能在TEM图像中显示出来。图1a和1b显示良好的孔隙密封效果,没有Ta扩散到下层低k介电薄膜中。在Ta2O5层和低k介电层之间显示清晰的界面,如图1a和b中显示的。图2b和2c提供了从图2a中显示的侧壁上各个区域获得的EDX,其证实了在多孔低k介电层3中没有可检测的Ta。
实施例2:用二-异丙基二甲氧基硅烷(式A)的孔隙密封
孔隙密封层采用上文所述的有机硅化合物二-异丙基二甲氧基硅烷(C8H20OSi)沉积,并发现适用于密封孔隙而不会相比于未损伤的低k薄膜明显提高介电常数。通过高达30个循环的处理,低k薄膜的介电常数只从开始值2.2增加到处理后值2.29(或+0.09的改变)。这一有机硅化合物也被发现在Cu衬底上提供相对好的选择性:通过20个循环的处理,低k薄膜上孔隙密封层的厚度为约20埃,而在铜表面上孔隙密封层的厚度小于这显示出约6∶1的选择性。
实施例3:采用二甲基二乙酰氧基硅烷(式C)的孔隙密封
采用上文所述的二甲基二乙酰氧基硅烷(C6H12O4Si)沉积孔隙密封层。损伤的多孔低k薄膜经过与有机硅化合物接触和然后He等离子体处理的10个循环而被完全密封。薄膜沉积速率为~/循环,这表明孔隙能够用厚度约1.2纳米(nm)的孔隙密封层密封。同时,覆盖层的介电常数小于4,这也潜在地减小k移动。Ta2O5沉积和XRF分析显示,孔隙被密封而没有Ta扩散到孔隙中。
实施例4:用1-甲基-1-乙氧基-1-硅杂环戊烷(式E)的孔隙密封
如上文所述,测试具有式C7H16OSi的有机硅前体1-甲基-1-乙氧基-1-硅杂环戊烷。NH3损伤的薄膜可以通过10个循环的He或Ar等离子体处理而被完全密封。Ta2O5沉积和XRF分析显示,孔隙被密封而没有Ta扩散到孔隙中。动态SIMS数据也显示界面处显著的Ta浓度下降,表明通过本文中描述的方法的10个循环获得的良好孔隙密封效果。
实施例5:用1,2-双(三甲氧基甲硅烷基)乙烷(式F)的孔隙密封
如上文所述的损伤的多孔低k介电薄膜与具有式C8H22O6Si2的有机硅化合物1,2-双(三甲氧基甲硅烷基)乙烷[(CH3O)3Si-(CH2)2-Si(OCH3)3]接触,并如上文所述采用EP测试进行测试,且通过了EP测试而没有甲苯扩散。没有观察到颜色变化;没有发生椭率仪偏移。通过1,2-双(三甲氧基甲硅烷基)乙烷的10个循环的处理后XRF分析也显示了没有Ta扩散到孔隙中。
上述说明主要是为了阐述的目的。虽然本发明通过其示例性实施方案得到展示和说明,但本领域技术人员应理解,可以在不背离发明精神和范围的情况下对其进行形式或细节上的前述和各种其它的改变、省略或增加。

Claims (12)

1.一种用于形成孔隙密封层的方法,该方法包括如下步骤:
a.在反应器内提供具有多孔低介电常数层的衬底;
b.将所述衬底与选自具有以下式A至G的化合物的组的至少一种有机硅化合物接触,以在所述多孔低介电常数层的至少一部分表面上提供吸收的有机硅化合物:
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥;和R7选自C2-C10烷基二基,其与硅原子形成四元、五元或六元环,且其中m=0、1或2,和n=0、1或2;
c.用吹扫气体吹扫所述反应器;
d.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;和
e.用吹扫气体吹扫所述反应器,其中重复步骤b至e直至在所述表面上形成所需厚度的孔隙密封层并提供密封的介电常数层。
2.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式A的化合物并选自三甲氧基甲基硅烷、二甲氧基二甲基硅烷、三乙氧基甲基硅烷、二乙氧基二甲基硅烷、三甲氧基硅烷、二甲氧基甲基硅烷、二乙氧基甲基硅烷、二甲氧基乙烯基甲基硅烷、二甲氧基二乙烯基硅烷、二乙氧基乙烯基甲基硅烷和二乙氧基二乙烯基硅烷。
3.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式B的化合物并选自1,1,3,3-四甲氧基-1,3-二甲基二硅氧烷、1,1,3,3-四乙氧基-1,3-二甲基二硅氧烷、1,3-二甲氧基-1,1,3,3-四甲基二硅氧烷和1,3-二乙氧基-1,1,3,3-四甲基二硅氧烷。
4.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式C的化合物并选自二甲基二乙酰氧基硅烷和甲基三乙酰氧基硅烷。
5.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式D的化合物并选自1,1,3,3-四乙酰氧基-1,3-二甲基二硅氧烷和1,3-四乙酰氧基-1,1,3,3-四甲基二硅氧烷。
6.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式E的化合物并选自1-甲基-1-甲氧基-1-硅杂环戊烷、1-甲基-1-乙氧基-1-硅杂环戊烷、1-甲基-1-异丙氧基-1-硅杂环戊烷、1-甲基-1-正丙氧基-1-硅杂环戊烷、1-甲基-1-正丁氧基-1-硅杂环戊烷、1-甲基-1-仲丁氧基-1-硅杂环戊烷、1-甲基-1-异丁氧基-1-硅杂环戊烷、1-甲基-1-叔丁氧基-1-硅杂环戊烷、1-甲氧基-1-硅杂环戊烷、1-乙氧基-1-硅杂环戊烷、1-甲基-1-甲氧基-1-硅杂环丁烷、1-甲基-1-乙氧基-1-硅杂环丁烷、1-甲氧基-1-硅杂环丁烷和1-乙氧基-1-硅杂环丁烷。
7.如权利要求1所述的方法,其中所述至少一种有机硅化合物包括具有式F的化合物并选自1,2-双(二甲氧基甲基甲硅烷基)甲烷、1,2-双(二乙氧基甲基甲硅烷基)甲烷、1,2-双(二甲氧基甲基甲硅烷基)乙烷和1,2-双(二乙氧基甲基甲硅烷基)乙烷。
8.如权利要求1-7任一项所述的方法,其中所述孔隙密封层的厚度为约5纳米或更小,优选约3纳米或更小,更优选约1纳米或更小。
9.如权利要求1-8任一项所述的方法,其中所述多孔低介电常数层具有第一介电常数,和所述密封的低介电常数层具有第二介电常数,且所述第一介电常数和所述第二介电常数之间的差异是0.5或更小,优选0.4或更小,更优选0.2或更小。
10.如权利要求1-9任一项所述的方法,其中所述多孔低介电常数层进一步包含金属,且其中所述孔隙密封层在所述多孔低介电薄膜上的第一沉积速率与所述孔隙密封层在所述金属上的第二沉积速率相比高2倍到10倍。
11.一种通过等离子体增强原子层沉积(PEALD)工艺、等离子体增强循环化学气相沉积(PECCVD)或等离子体增强ALD样工艺形成孔隙密封层的方法,该方法包括如下步骤:
a.在反应器内提供具有多孔低介电常数层的衬底;
b.将所述衬底与选自具有以下式A至G的化合物的组的至少一种有机硅化合物接触,以在所述多孔低介电常数层的至少一部分表面上提供吸收的有机硅化合物:
其中,R2和R3各自独立地选自氢原子、C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C5-C12芳基、C2-C10直链或支链烯基和C2-C10直链或支链炔基;R4选自C1-C10直链烷基、C3-C10支链烷基、C3-C10环烷基、C3-C10直链或支链烯基、C3-C10直链或支链炔基和C5-C12芳基;R5是直链或支链C1-3亚烷基桥;和R7选自C2-C10烷基二基,其与硅原子形成四元、五元或六元环,且其中m=0、1或2,和n=0、1或2;
c.用吹扫气体吹扫所述反应器;
d.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;和
e.用吹扫气体吹扫所述反应器;
f.将具有式A至G的至少一种有机硅化合物引入所述反应器中,其中该至少一种有机硅化合物不同于步骤b)中的至少一种有机硅化合物;
g.用吹扫气体吹扫所述反应器;
h.将等离子体引入所述反应器中以与吸收的有机硅化合物反应;
i.用吹扫气体吹扫所述反应器,其中重复步骤b至i直至得到所需厚度的薄膜。
12.如权利要求11所述的方法,其中在步骤f之前,步骤b到e重复特定次数的循环。
CN201510702639.9A 2014-08-14 2015-08-14 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物 Active CN105401131B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462037392P 2014-08-14 2014-08-14
US62/037,392 2014-08-14
US14/820,982 US20160049293A1 (en) 2014-08-14 2015-08-07 Method and composition for providing pore sealing layer on porous low dielectric constant films
US14/820,982 2015-08-07

Publications (2)

Publication Number Publication Date
CN105401131A true CN105401131A (zh) 2016-03-16
CN105401131B CN105401131B (zh) 2018-10-19

Family

ID=53836013

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510702639.9A Active CN105401131B (zh) 2014-08-14 2015-08-14 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物

Country Status (7)

Country Link
US (2) US20160049293A1 (zh)
EP (1) EP2993687B1 (zh)
JP (2) JP6298023B2 (zh)
KR (2) KR101741159B1 (zh)
CN (1) CN105401131B (zh)
SG (1) SG10201506348YA (zh)
TW (2) TWI598456B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109642315A (zh) * 2016-08-30 2019-04-16 弗萨姆材料美国有限责任公司 用于制造低k膜以填充表面特征的前体和可流动cvd方法
CN109722648A (zh) * 2017-10-27 2019-05-07 弗萨姆材料美国有限责任公司 硅杂环状化合物和使用其沉积含硅膜的方法
CN110462097A (zh) * 2017-02-08 2019-11-15 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
TWI798884B (zh) * 2020-10-20 2023-04-11 美商慧盛材料美國責任有限公司 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
TWI799994B (zh) * 2020-09-22 2023-04-21 美商慧盛材料美國責任有限公司 用於提昇介電膜的性質的添加物及製造緻密有機二氧化矽膜之方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
JP6499001B2 (ja) * 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
KR101868483B1 (ko) 2016-10-13 2018-07-23 경북대학교 산학협력단 영상 대조에 따른 두개의 에지 블러 파라미터 예측 방법
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
JP6663400B2 (ja) * 2017-09-11 2020-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US20210017198A1 (en) * 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
JP2022547588A (ja) * 2019-09-13 2022-11-14 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー モノアルコキシシラン及びジアルコキシシラン、並びにそれらから作られる高密度の有機シリカ膜
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
CN102077324A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 使用薄阻障层防止及降低溶剂与溶液渗入多孔性电介质中
US20130095255A1 (en) * 2002-04-17 2013-04-18 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3496862B2 (ja) * 1997-02-17 2004-02-16 北辰工業株式会社 新規ケイ素含有高分子化合物およびその調製法
US7135408B2 (en) * 2002-10-30 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
US7718544B2 (en) * 2005-06-30 2010-05-18 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low diffusion coefficient
US20070299239A1 (en) 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP5181512B2 (ja) * 2007-03-30 2013-04-10 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7998875B2 (en) * 2007-12-19 2011-08-16 Lam Research Corporation Vapor phase repair and pore sealing of low-K dielectric materials
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
CN102054757B (zh) * 2009-11-10 2013-09-11 中芯国际集成电路制造(上海)有限公司 集成电路铜互连结构的制作方法
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
JP5566334B2 (ja) * 2010-12-28 2014-08-06 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI686499B (zh) * 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130095255A1 (en) * 2002-04-17 2013-04-18 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
CN102077324A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 使用薄阻障层防止及降低溶剂与溶液渗入多孔性电介质中

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109642315A (zh) * 2016-08-30 2019-04-16 弗萨姆材料美国有限责任公司 用于制造低k膜以填充表面特征的前体和可流动cvd方法
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
CN110462097A (zh) * 2017-02-08 2019-11-15 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷
CN110462097B (zh) * 2017-02-08 2022-11-15 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷
CN115584491A (zh) * 2017-02-08 2023-01-10 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷
CN109722648A (zh) * 2017-10-27 2019-05-07 弗萨姆材料美国有限责任公司 硅杂环状化合物和使用其沉积含硅膜的方法
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
TWI744727B (zh) * 2018-11-27 2021-11-01 美商慧盛材料美國責任有限公司 1-甲基-1-異丙氧基-矽環烷及使用其製造的緻密有機二氧化矽膜
TWI799994B (zh) * 2020-09-22 2023-04-21 美商慧盛材料美國責任有限公司 用於提昇介電膜的性質的添加物及製造緻密有機二氧化矽膜之方法
TWI798884B (zh) * 2020-10-20 2023-04-11 美商慧盛材料美國責任有限公司 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜

Also Published As

Publication number Publication date
US20180277360A1 (en) 2018-09-27
TW201623667A (zh) 2016-07-01
KR20180037096A (ko) 2018-04-11
EP2993687B1 (en) 2020-02-05
TW201726966A (zh) 2017-08-01
JP2016042576A (ja) 2016-03-31
TWI598456B (zh) 2017-09-11
US20160049293A1 (en) 2016-02-18
JP2018064119A (ja) 2018-04-19
KR20160021722A (ko) 2016-02-26
JP6298023B2 (ja) 2018-03-20
EP2993687A1 (en) 2016-03-09
TWI634229B (zh) 2018-09-01
CN105401131B (zh) 2018-10-19
KR101741159B1 (ko) 2017-05-29
SG10201506348YA (en) 2016-03-30
KR102376352B1 (ko) 2022-03-17

Similar Documents

Publication Publication Date Title
CN105401131A (zh) 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
TWI720741B (zh) 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
EP2228465B1 (en) Methods for making dielectric films comprising silicon
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US20100055442A1 (en) METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
US20070287301A1 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2003007699A (ja) 低誘電率材料およびcvdによる処理方法
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
KR102509390B1 (ko) 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
TW201442148A (zh) 經控制之氣隙的形成
JP2014150287A (ja) ポロゲン、ポロゲン化された前駆体、及び低誘電率を有する多孔質有機シリカガラス膜を得るためのそれらの使用
TW201835382A (zh) 於含矽表面的選擇性沉積
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170601

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant