JP2018064119A - 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物 - Google Patents

多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物 Download PDF

Info

Publication number
JP2018064119A
JP2018064119A JP2017246067A JP2017246067A JP2018064119A JP 2018064119 A JP2018064119 A JP 2018064119A JP 2017246067 A JP2017246067 A JP 2017246067A JP 2017246067 A JP2017246067 A JP 2017246067A JP 2018064119 A JP2018064119 A JP 2018064119A
Authority
JP
Japan
Prior art keywords
group
layer
dielectric constant
linear
porous low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017246067A
Other languages
English (en)
Inventor
チャンヘン リ
Jianheng Li
チャンヘン リ
ニコラス バルティス レイモンド
Nicholas Vrtis Raymond
ニコラス バルティス レイモンド
ゴードン リッジウェイ ロバート
Robert Gordon Ridgeway
ゴードン リッジウェイ ロバート
レイ シンジャン
Xinjian Lei
レイ シンジャン
マーク レオナルド オニール
O'neal Leonard Marc
レオナルド オニール マーク
シュチョン チャン
Xuezhong Jiang
シュチョン チャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2018064119A publication Critical patent/JP2018064119A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】細孔封止層を形成する方法を提供する。
【解決手段】多孔質低誘電率(「低k」)層の少なくとも表面に、本明細書で細孔封止層と称される追加の薄い誘電体膜を設けることにより、多孔質低k層の細孔を封止して、下にある層の誘電率のさらなる減損を防ぐための組成物を含む方法及び当該組成物が本明細書で記載される。一態様において、この方法は、低誘電率膜を少なくとも1種の有機ケイ素化合物と接触させて吸収有機ケイ素化合物を提供する工程、前記吸収有機ケイ素化合物を紫外線、プラズマ又はその両方で処理する工程、及び細孔封止層の所望の厚さが形成されるまで繰り返す工程を含む。
【選択図】なし

Description

[関連出願の相互参照]
本出願は、2014年8月14日出願の米国仮特許出願第62/037,392号に関する優先権及び特典を請求し、その全体が参照により本明細書中に援用される。
多孔質低誘電率(「低k」)層の少なくとも表面に、本明細書で細孔封止層(pore sealing layer)と称される追加の薄い誘電体膜を設けることにより、多孔質低k層の細孔を封止して、下にある層の誘電率のさらなる減損を防ぐための組成物を含む方法及び当該組成物が本明細書で記載される。
集積回路(IC)製造業者が今日直面している難問の一つは、狭いデバイスの形状寸法中で、多孔質低誘電率(「低k」)材料を、これらに限定されないが銅、コバルト、又は他の金属、又はこれらの合金などの原子層堆積(ALD)又は物理的気相成長(PVD)による金属膜と一体化することである。低k膜又は層の誘電率が、例えば約2.5を下回るにつれて、これらの膜の多孔率%は約30%以上になる。これらの膜内の多孔率レベルが増加するにつれて細孔は、膜中のものすごい数の(the shear number of)の細孔のせいでより相互に連通するようになり始める。
これらの多孔質低k膜を集積化する場合、膜は一般には最初にフォトレジストと、フルオロカーボン及び酸素を、任意選択のハイドロフルオロカーボンと一緒に使用する反応性イオンエッチング(RIE)によるプラズマエッチングの工程とを使用してパターン形成する。ビア及びトレンチが形成された後、残っているフォトレジストを、一般に水素又は酸素プラズマのいずれかであるプラズマによる灰化の工程において除去する。任意選択で水素(H2)の代わりにアンモニア(NH3)を使用することもでき、また酸素(O2)の代わりに二酸化炭素(CO2)を使用することもできる。典型的な多孔質低k膜は、多孔質有機ケイ酸塩(OSG)からなる。エッチングの工程、灰化の工程、又はその両方のいずれかの間に、多孔質OSG膜は、膜中でSiに結合しているメチル基又は表面近傍のSi−Me基が、中性ラジカルと反応して多孔質膜中に拡散することによって取り除かれる形で一般に損傷する。幾つかの例ではこのSi−Me基は、膜の疎水性にマイナスの影響を与えるSi−OHを形成する。フォトレジストを除去した後、SiCNバリア窒化物を速やかに除去し、金属層を露出させるために、一般にはビアの底部の金属膜の上のバリア窒化物を「パンチスルー」の工程で除去する。
一般に次の工程は、バリア又はバリア層を堆積させてフィーチャ中での金属の拡散を防ぐことである。バリア層の例は、金属タンタル(Ta)層が窒化タンタル(TaN)層上に堆積されたTaN層を有するものである。このTaN層及びTa層の両方は、物理的気相成長法(PVD)又はスパッタリングによって堆積されていたが、フィーチャサイズが小さくなりまた銅などのより薄いバリアに対する需要が縮小するに従って、PVDによるTaNから原子層堆積(ALD)によるTaNへのシフトが起こった。プラズマによる損傷に伴うOSG膜中の細孔相互の連通の増加は、ペンタキス(ジメチルアミノ)タンタル(Ta(NMe25)(またALDによる窒化タンタル用にも使用される)などのALDによる銅バリアの堆積に使用される金属前駆体の多孔質低k誘電体膜中への拡散を引き起こし、膜の絶縁特性に悪影響を与える。金属含有前駆体がALDの間に多孔質OSG中に拡散しないようにするためには、ALDプロセスの前に多孔質OSG膜の表面を封止することが望ましい。しかしながら細孔が露出するトレンチ及びビアのフィーチャの狭さ(例えば、20nm未満のトレンチ幅)の故に、この細孔封止層はできるだけ小さな空間を占めることが望ましい。できるだけ薄い細孔封止層が多孔質低k膜の上に成長するように、したがってトレンチ/ビア幅の減損をできるだけ少なくするように細孔封止が多孔質低k膜の、例えばOSG層の表面又はその近くの細孔の内部で起こるならば、それもまた有利なはずである。
米国特許出願公開第2013/0337583号明細書は、(i)膜の堆積なしに反応性化学種の存在しない状態でその損傷した誘電体膜の表面にケイ素を含有する第1のガスを吸着させる工程、(ii)損傷した誘電体膜の表面にケイ素を含有する第2のガスを吸着させ、続いてその膜の表面に反応性化学種を塗布してその上に単分子層を形成する工程、及び(iii)工程(ii)を繰り返す工程を含む、プロセスに関連した低誘電率膜の損傷の修復方法について記述している。工程(i)における表面曝露の期間は、工程(ii)で第2のガスに表面を曝す期間よりも長い。
米国特許第8,236,684号明細書は、緻密な誘電体層によってキャップされる多孔質誘電体層の処理方法及び装置について記述している。誘電体層をパターン化し、緻密な誘電体層を基材全体を覆ってコンフォーマルに堆積させる。この緻密でコンフォーマルな誘電体層は、細孔に浸潤する可能性のある化学種の接触に対して多孔質誘電体層の細孔を封止する。
米国特許出願公開第2014/0004717号明細書は、多孔質低k誘電体層をビニルシラン含有化合物に曝し、また任意選択でその多孔質低k誘電体層を紫外線(U/V)硬化プロセスに曝すことによって低k誘電体層の誘電率を回復させ、また下げるための方法について記述している。
多孔質低k層の細孔を封止する方法の開発には克服するための多くの難問が存在する。第一に、ビアの底部の金属(例えば、銅、コバルト、他の金属、又はそれらの合金)層は細孔封止プロセスに曝されるために、その細孔封止層の堆積の間、酸化性環境を避けるべきである。第二に、その金属の上には層を堆積しないとはいえ、多孔質低k層の上/中に細孔封止層を選択的に堆積することが望ましく、これは現行の方法の難問である。最後に、その低k材料の細孔は封止されることになるので、その細孔封止材料は、その層の誘電率を維持するように、又は最小限度、その誘電率を顕著には上げないように、その結果、多孔質低k層(その上に細孔封止層を堆積される、すなわち封止される多孔質低k層)の誘電率が、3.0以下、又は2.9以下、又は2.7以下、又は2.5以下、又は2.4以下、又は2.3以下、又は2.2以下、又は2.1以下であり続けるように選択されなければならない。
したがって、これらの難問の1つ又は複数に対処する、パターン化された多孔質低k層、例えば制限なく多孔質OSG層におけるビア中の細孔を封止ための方法に対する必要性は依然として残っている。
本発明は、下にある多孔質低k膜の損傷した細孔を封止する薄い誘電体膜すなわち細孔封止層を提供することによって上記の1又は複数の必要性を満たす。この細孔封止層は、下記のうちの1つ又は複数を実現する。すなわち(a)その多孔質低k膜の組成分析によって測定されるバリア金属の多孔質低k膜中への拡散を防ぐ、(b)下にある多孔質低k膜の誘電率変化をできるだけ少なくする、すなわち細孔封止層がその上に堆積される前の多孔質低k膜の誘電率と、細孔封止層がその上に堆積された後の誘電率との差が、0.5以下、0.4以下、0.3以下、0.2以下、0.1以下である、(c)その金属(例えば、銅、コバルト、他の金属、又はそれらの合金)層に対して多孔質低k膜上に選択的に堆積する、すなわち多孔質低k膜上の細孔封止層の堆積速度が金属又は銅層上の細孔封止層の堆積速度と比較して約8〜約10倍、又は約5〜8倍、又は約2〜約5倍大きい。
一態様では、細孔封止層を形成するための方法であって、
a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
b)前記基材を、式A〜G
を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R2及びR3がそれぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基からなる群より選択され、R4がC1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5が直鎖又は分岐のC1〜C3アルキレンブリッジであり、R7がSi原子とともに四員環、五員環、又は六員環を形成するC2〜C10アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、多孔質低k誘電体層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
c)前記反応器をパージガスでパージする工程、
d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、並びに
e)前記反応器をパージガスでパージし、細孔封止膜の所望の厚さが前記表面上に形成され、封止された誘電率層が得られるまで工程b)〜e)を繰り返す工程
を含む方法が提供される。幾つかの実施形態では、多孔質低誘電率層は第1の誘電率を有し、封止された低誘電率層は第2の誘電率を有し、第1の誘電率と第2の誘電率の差は0.5以下である。この又は他の実施形態では、多孔質低誘電率層は金属をさらに含み、多孔質低誘電体膜上の細孔封止層の第1の堆積速度は、金属上の細孔封止層の第2の堆積速度と比較して2倍〜10倍大きい。
例1で述べる方法に従って細孔封止層をコーティングした多孔質低k誘電体膜を含むパターン化されたウェハーの側壁の透過型電子顕微鏡(TEM)画像を示す。図1Aは、Ta25と多孔質低k誘電体層の間の明瞭な界面を示しており、これは細孔封止層のすぐれた細孔封止効果を示す。 例1で述べる方法に従って細孔封止層をコーティングした多孔質低k誘電体膜を含むパターン化されたウェハーの側壁の透過型電子顕微鏡(TEM)画像を示す。図1Bは、Ta25と多孔質低k誘電体層の間の明瞭な界面を示しており、これは細孔封止層のすぐれた細孔封止効果を示す。 例1で述べるような有機ケイ素化合物トリメトキシメチルシランを使用して堆積させた細孔封止層と、ペンタキス(ジメチルアミノ)タンタルを使用して堆積させたTa25層とをコーティングしたパターン化されたウェハーの側壁から得られたエネルギー分散X線分光法(EDX)画像を示す。Taは、多孔質低k誘電体層中で検出されなかった。 例1で述べるような有機ケイ素化合物トリメトキシメチルシランを使用して堆積させた細孔封止層と、ペンタキス(ジメチルアミノ)タンタルを使用して堆積させたTa25層とをコーティングしたパターン化されたウェハーの側壁から得られたエネルギー分散X線分光法(EDX)画像を示す。Taは、多孔質低k誘電体層中で検出されなかった。 例1で述べるような有機ケイ素化合物トリメトキシメチルシランを使用して堆積させた細孔封止層と、ペンタキス(ジメチルアミノ)タンタルを使用して堆積させたTa25層とをコーティングしたパターン化されたウェハーの側壁から得られたエネルギー分散X線分光法(EDX)画像を示す。Taは、多孔質低k誘電体層中で検出されなかった。
本明細書中では、エッチング、灰化、平坦化、及び/又はこれらの組み合わせの製造プロセスの1つ又は複数に由来する膜上に残っている、多孔質の低誘電率(低k)のあるいは有機ケイ酸塩ガラス(OSG)の膜又は層内に含まれる露出SiOH基を、細孔封止膜又は層のプラズマ強化原子層堆積(ALD)のためのアンカーとして使用する組成物及びそれを使用した方法を述べる。具体例としての低k OSG膜は、ケイ素含有前駆体ジエトキシメチルシラン、例えばAir Products and Chemicalによって供給されるDEMS(登録商標)前駆体と、ポロゲン前駆体とを使用して化学気相成長(CVD)法によって堆積され、続いて熱アニーリングの工程、紫外線(UV)硬化の工程、又はこの組み合わせを使用してその低k膜から除去される。用語「低誘電率膜」又は「低k膜」とは、3.0以下、又は2.7以下、又は2.5以下、又は2.3以下の誘電率を有する多孔質OSG膜などの低k膜を意味する。幾つかの実施形態では多孔質低k膜又は層は、Si−O結合、Si−CH3結合、及びSi−CHx結合のうちの少なくとも1種又は複数種類からなる籠構造体又は網状構造体を含み、さらに細孔又は空隙を含む。この又は他の実施形態では、本明細書中で述べる低k膜はさらに、偏光解析ポロシメトリー(ellipsometric porosimetry)によって測定される少なくとも15%以上、又は少なくとも20%以上、又は少なくとも25%以上、又は少なくとも30%以上の空隙率(%)を含有する。用語「損傷した多孔質低誘電率膜」又は「損傷した低k膜」とは、エッチング、灰化、平坦化、及び/又はこれらの組み合わせの製造プロセスの1つ又は複数にかけられた多孔質OSG膜などの低k膜を意味する。
この方法では、損傷した多孔質低k層を有する基材を反応器又は堆積チャンバーに入れる。次いで、例えばエッチングされたビアの水平面などの損傷した多孔質低k誘電体層の表面の少なくとも一部分を、本明細書中で述べる式A〜Gからなる群より選択される少なくとも1種からなる有機ケイ素化合物と接触させて、表面の一部分に吸着有機ケイ素層を設ける。次に、この低k多孔質層を、紫外(UV)線と、窒素(N2)、アルゴン(Ar)、ヘリウム(He)、水素(H)、アンモニア(NH3)、及びその組み合わせから選択される少なくとも1種を含むプラズマから選択される少なくとも1種を含むプラズマとから選択される少なくとも一方、又は両方で処理する。この接触及び処理の加工の工程を、細孔封止層の所望の厚さがその多孔質低k層の表面の少なくとも一部分で形成されるまで繰り返す。結果としてその多孔質低k層中の開口気孔が封止される。多孔質低k誘電体層の表面の少なくとも一部分に細孔封止層を形成するための堆積法の実例には、限定されないが、プラズマ強化原子層堆積(PEALD)、プラズマ強化サイクリック化学気相成長堆積(PECCVD)及びプラズマ強化ALD様プロセスが挙げられる。
本発明の他の実施形態では低k層の表面を、式A
を有する少なくとも1個のアルコキシ基を有する有機ケイ素化合物で処理する。式中、R2及びR3は、それぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、m=0、1、又は2である。式Aを有する化合物の実例には、これらに限定されないがトリメトキシメチルシラン、ジメトキシジメチルシラン、トリエトキシメチルシラン、ジエトキシジメチルシラン、トリメトキシシラン、ジメトキシメチルシラン、ジイソプロピルジメトキシシラン、ジエトキシメチルシラン、ジメトキシビニルメチルシラン、ジメトキシジビニルシラン、ジエトキシビニルメチルシラン、及びジエトキシジビニルシランが挙げられる。損傷した多孔質低k膜を式Aの有機ケイ素化合物と接触させて、吸収有機ケイ素化合物をその多孔質低k膜の表面の少なくとも一部分に形成する実施形態では、次いで基材を、アルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも1種を含むプラズマで処理する。プラズマは反応器中に導入されて反応をさらに促進し、またより多くのSi−O−Si結合を形成させる。有機ケイ素化合物を多孔質低k層の表面の少なくとも一部分と接触させ、かつプラズマで処理するこのプロセス段階は、細孔封止層の所望の厚さが得られるまで繰り返される。結果として、下にある多孔質低k層中の開口気孔が封止されて、封孔された多孔質低誘電率の、すなわち多孔質低kの層が得られる。
下記のスキーム1は、多孔質低k層の表面の少なくとも一部分を式Aを有する有機ケイ素化合物と接触させる、本明細書中で述べる方法の実施形態を示す。式AにおいてR2は、有機ケイ素化合物の有機アミノ基をSi−OHと反応させることにより表面にビニル含有ケイ素フラグメントを固定し、吸収有機ケイ素化合物を得るためのビニル基である。次いでこの表面を紫外線、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなるプラズマ、あるいは両方で処理して、固定されたビニル含有ケイ素フラグメントとSi−Hの間の反応を活性化し、紫外線(UV)及び/又はプラズマにより少なくとも1個のSi−CH2CH2−Si結合を作り出す。有機ケイ素化合物を多孔質低k層の表面の少なくとも一部分と接触させ、かつUV、プラズマ、又は両方で処理するこのプロセス段階は、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、その低k層中の開口気孔が封止されて、封孔された多孔質低誘電率の、すなわち多孔質低kの層が得られる。
本明細書中で述べる方法の別の実施形態では多孔質低k層を、少なくとも1個のアルコキシ基及びSi−O−Si結合を有する式B
を有する有機ケイ素化合物と接触させて、吸収有機ケイ素化合物をその表面の少なくとも一部分に設ける。式中、R2は、水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、n=0、1、又は2である。式Bを有する化合物の実例には、これらに限定されないが1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサンが挙げられる。次いで基材を、UV、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも一種類を含むプラズマ、あるいは両方で処理する。このプラズマは反応器中に導入されて反応をさらに促進させ、より多くのSi−O−Si結合を形成する。有機ケイ素化合物を多孔質低k層の表面と接触させ、紫外線(UV)及び/又はプラズマで処理するこのプロセスは、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、下にある多孔質低k層中の開口気孔が封止されて、封孔された多孔質低誘電率の、すなわち多孔質低kの層が得られる。
本明細書中で述べる方法の別の実施形態では多孔質低k層を、式C
に示すような少なくとも1個のカルボキシル基を有する有機ケイ素化合物と接触させる。式中、R2及びR3は、それぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、m=0、1、又は2である。式Cを有する化合物の実例には、これらに限定されないがジメチルジアセトキシシラン及びメチルトリアセトキシシランが挙げられる。次いで基材を、UV、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも一種類を含むプラズマ、あるいは両方で処理する。このプラズマは反応器中に導入されて反応をさらに促進させ、より多くのSi−O−Si結合を形成する。有機ケイ素化合物を多孔質低k層の表面と接触させ、紫外線(UV)及び/又はプラズマで処理するこのプロセスは、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、下にある多孔質低k層中の開口気孔が封止される。
本明細書中で述べる方法の別の実施形態では多孔質低k層を、式D
に示すようなSi−O−Siを有する少なくとも1個のカルボキシル基を有する有機ケイ素化合物と接触させる。式中、R2及びR3は、水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、n=0、1、又は2である。式Dを有する化合物の実例には、これらに限定されないが1,1,3,3−テトラアセトキシ−1,3−ジメチルジシロキサン及び1,3−テトラアセトキシ−1,1,3,3−テトラメチルジシロキサンが挙げられる。次いで基材を、UV、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも一種類を含むプラズマ、あるいは両方で処理する。このプラズマは反応器中に導入されて反応をさらに促進させ、より多くのSi−O−Si結合を形成する。有機ケイ素化合物を多孔質低k層の表面と接触させ、紫外線(UV)及び/又はプラズマで処理するこのプロセスは、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、下にある多孔質低k層中の開口気孔が封止される。
本明細書中で述べる方法の別の実施形態では多孔質低k層を、式
に示すような少なくとも1個のアルコキシ基を有する有機ケイ素化合物と接触させる。式中、R2は、水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R7は、Si原子ととともに四員環、五員環、又は六員環を形成するC2〜C10のアルキルジラジカルから選択される。式Eの一つの特定の実施形態では、R2が水素、メチル基、又はエチル基から選択されるのに対し、R4はメチル基、エチル基、プロピル基、及びブチル基から選択される。式Eを有する化合物の実例には、これらに限定されないが、1−メチル−1−メトキシ−1−シラシクロペンタン、1−メチル−1−エトキシ−1−シラシクロペンタン、1−メチル−1−イソ−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−ブトキシ−1−シラシクロペンタン、1−メチル−1−sec−ブトキシ−1−シラシクロペンタン、1−メチル−1−イソ−ブトキシ−1−シラシクロペンタン、1−メチル−1−tert−ブトキシ−1−シラシクロペンタン、1−メトキシ−1−シラシクロペンタン、1−エトキシ−1−シラシクロペンタン、1−メチル−1−メトキシ−1−シラシクロブタン、1−メチル−1−エトキシ−1−シラシクロブタン、1−メトキシ−1−シラシクロブタン、及び1−エトキシ−1−シラシクロブタンが挙げられる。次いで基材を、UV、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも一種類を含むプラズマ、あるいは両方で処理する。このプラズマは反応器中に導入されて反応をさらに促進させ、より多くのSi−O−Si結合を形成する。有機ケイ素化合物を多孔質低k層の表面と接触させ、かつ紫外線(UV)及び/又はプラズマで処理するこのプロセスは、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、下にある多孔質低k層中の開口気孔が封止される。
本明細書中で述べる方法の別の実施形態では多孔質低k層を、式F
に示すような少なくとも1個のアルコキシ基を有する有機ケイ素化合物と接触させる。式中、R2は、独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5は、これらに限定されないが1、2、又は3個の炭素原子を含有する基、例えば無制限にメチレン又はエチレンブリッジなどの直鎖又は分岐のC1~3アルキレンブリッジであり、n=0、1、又は2である。式Fを有する化合物の実例には、これらに限定されないが、1,2−ビス(ジメトキシメチルシリル)メタン、1,2−ビス(ジエトキシメチルシリル)メタン、1,2−ビス(ジメトキシメチルシリル)エタン、1,2−ビス(トリメトキシメチルシリル)エタン、及び1,2−ビス(ジエトキシメチルシリル)エタンが挙げられる。
本明細書中で述べる方法の別の実施形態では多孔質低k層の表面を、Si−O−Si結合を有する式G
を有する少なくとも1個の有機アミノ固定基を有する有機ケイ素化合物と接触させる。式中、R2及びR3は、それぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基から選択され、R4は、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、n=0、1、又は2である。式Gを有する化合物の実例には、これらに限定されないが、1,3−ジメチルアミノ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエチルアミノ−1,1,3,3−テトラメチルジシロキサン、及び1,3−ジ−イソ−プロピルアミノ−1,1,3,3−テトラメチルジシロキサンが挙げられる。下記のスキーム2は、損傷した多孔質低k膜を、式Gを有し、かつ損傷した多孔質低k誘電体膜中の露出したSi−OH基と反応して開口気孔を封止することを可能にする少なくとも1個の固定基を有する有機ケイ素と接触させる、本明細書中で述べる方法の実施形態を示す。
この又は他の実施形態では多孔質低k誘電体膜を、UV、あるいはアルゴン(Ar)、ヘリウム(He)、水素(H)、又はこれらの組み合わせからなる群より選択される少なくとも一種類からなるプラズマ、あるいは両方で処理する。このプラズマは反応器中に導入されて反応をさらに促進させ、より多くのSi−O−Si結合を形成する。有機ケイ素化合物を低k層の表面と接触させ、かつプラズマで処理するこのプロセス段階は、細孔封止層の所望の厚さが形成されるまで繰り返される。結果として、下にある多孔質低k誘電体膜中の開口気孔が封止される。
本明細書中で述べる式において、またこの記述全体を通じて用語「アルキル」は、直鎖又は分岐官能基を意味し、それぞれ1〜10個又は3〜10個の炭素原子を有する。直鎖アルキル基の実例には、これらに限定されないが、メチル(Me)、エチル(Et)、プロピル(n−Pr)、ブチル(n−Bu)、ペンチル、及びヘキシルが挙げられる。分岐アルキル基の実例には、これらに限定されないが、イソ−プロピル(イソ−Pr又はiPr)、イソブチル(iBu)、sec−ブチル(sBu)、tert−ブチル(tBu)、イソ−ペンチル、tert−ペンチル(アミル)、イソ−ヘキシル、及びネオ−ヘキシルが挙げられる。幾つかの実施形態ではそのアルキル基をそれと結合する1種類又は複数種類の官能基、例えばこれらに限定されないがアルコキシ基、ジアルキルアミノ基、又はこれらの組み合わせで置換することができる。
本明細書中で述べる式において、またこの記述全体を通じて用語「環状アルキル」は、3〜10個又は4〜10個又は5〜10個の炭素原子を有する環状官能基を意味する。環状アルキル基の実例には、これらに限定されないが、シクロブチル基、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基が挙げられる。
本明細書中で述べる式において、またこの記述全体を通じて用語「アリール」は、5〜12個の炭素原子又は6〜10個の炭素原子を有する芳香族環状官能基を意味する。アリール基の実例には、これらに限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−キシリルが挙げられる。
本明細書中で述べる式において、またこの記述全体を通じて用語「アルケニル基」は、1個又は複数個の炭素−炭素二重結合を有し、かつ2〜10個又は3〜6個又は3〜4個の炭素原子を有する基を意味する。
本明細書中で述べる式において、またこの記述全体を通じて用語「アルキニル基」は、1個又は複数個の炭素−炭素三重結合を有し、かつ2〜10個又は3〜6個又は3〜4個の炭素原子を有する基を意味する。
本明細書中で述べる式において、またこの記述全体を通じて用語「アルコキシ基」は、プロトンの除去によりアルコールから誘導される基を意味する。アルコキシ基の実例には、これらに限定されないが、メトキシ、エトキシ、イソ−プロポキシ、n−プロポキシ、tert−ブトキシ、sec−ブトキシ、イソ−ブトキシが挙げられる。
本明細書中で述べる式において、またこの記述全体を通じて用語「カルボキシル基」は、プロトンの除去によりカルボン酸から誘導される基を意味する。カルボキシル基の実例には、これに限定されないが、アセトキシ(MeCOO)が挙げられる。
本明細書中で述べる式において、またこの記述全体を通じて用語「アルキレンブリッジ」は、アルキル基から誘導され、1〜10個の炭素原子、好ましくは1〜4個の炭素原子を有するジ−ラジカルを意味する。アルキレンブリッジの実例には、これらに限定されないが、−CH2−(メチレン)、−CH2CH2−(エチレン)、−CH(Me)CH2−(イソ−プロピレン)、−CH2CH2CH2−(プロピレン)が挙げられる。
本明細書中で述べる式において、またこの記述全体を通じて用語「環状アルキル」は、3〜10個又は4〜10個の炭素原子又は5〜10個の炭素原子を有する環状官能基を意味する。環状官能基の実例には、これらに限定されないが、シクロブチル基、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基が挙げられる。上記の式において、またこの記述全体を通じて本明細書中で使用される用語「不飽和」とは、その官能基、置換基、環、又はブリッジが、1個又は複数個の炭素二重又は三重結合を有することを意味する。不飽和環の実例には、これに限定されないが、フェニル環などの芳香族環が挙げられる。用語「飽和」とは、その官能基、置換基、環、又はブリッジが、1個又は複数個の炭素二重又は三重結合を有しないことを意味する。
幾つかの実施形態ではそのアルキル基、アルケニル基、アルキニル基、環状基、及び/又はアリール基の1個又は複数個が置換されてもよい、すなわち例えば水素原子の代わりに1個又は複数個の原子又は原子群、例えば官能基を置換されてもよい。置換基の実例には、これらに限定されないが、酸素、イオウ、ハロゲン原子(例えばF、Cl、I、又はBr)、窒素、及びリンが挙げられる。置換基のさらなる実例としてアルキル基は、これらに限定されないがアルコキシ基、ジアルキルアミノ基、又はこれらの組み合わせなどの1個又は複数個の官能基をそれに結合されてもよい。他の実施形態では、本明細書中で述べる式中のアルキル基、アルケニル基、アルキニル基、環状基、及び/又はアリール基の1個又は複数個は、それに1個又は複数個の官能基を結合されない。
上記方法において、理論に拘束されないが細孔封止層は、銅、コバルト、又はそれらの合金などの金属と比較してその分子が−OH(還元的雰囲気中では金属の表面に存在しない)との反応のせいで膜表面に固定されるために多孔質低k誘電体層の少なくとも一部分の上に選択的に堆積すると考えられる。したがって金属の表面では堆積は起こることができず、結果として多孔質低k誘電体層に対する良好な選択性をもたらす。銅などの金属と比較して多孔質低k膜上への細孔封止層の堆積の選択性については、金属を基準とした多孔質低k膜上の細孔封止膜の堆積速度は、端点、すなわち約2倍、約3倍、約4倍、約5倍、約6倍、約7倍、約8倍、約9倍、及び約10倍のうちの1つ又は複数の範囲内で変わることが好ましい。範囲の実例には、これらに限定されないが、約8〜約10倍、又は約5〜約8倍、又は約2〜約5倍が挙げられる。この又は他の実施形態では、多孔質低誘電率層はさらに金属を含み、多孔質低誘電率膜上の細孔封止層の第1の堆積速度は、その層の金属部分上の細孔封止層の第2の堆積速度と比較して2倍〜10倍大きい。
開口気孔は、本発明で述べる方法のサイクル約10〜30回の後に封止されると予想される。低k誘電体膜上に堆積されるその得られた細孔封止層は比較的薄く、すなわち約5ナノメートル(nm)以下、4nm以下、3nm以下、2nm以下、1nm以下、又は0.5nm以下の厚さを有することが理解されるはずである。
下にある多孔質低k誘電体層を基準にして素子の電気的性能に及ぼす影響をできるだけ少なくするためには、できるだけ小さい誘電率変化が細孔封止層にとって必要である。その誘電率kの変化(すなわち、細孔封止層が塗布される前及び後の多孔質低k膜の誘電率の差)は、0.5以下、0.4以下、0.3以下、0.2以下、0.1以下である。幾つかの実施形態では多孔質低誘電率層が第1の誘電率を有し、また封止された低誘電率層が第2の誘電率を有し、その第1の誘電率と第2の誘電率の差が、0.5以下、0.4以下、0.3以下、0.2以下、0.1以下、又は0.05以下である。
多孔質低k誘電体膜の少なくとも一部分の上に高度にコンフォーマルな細孔封止層を与えるALDに似た方法を本明細書中ではサイクリックCVDプロセスと呼ぶ。細孔封止層は、アモルファスケイ素、ケイ素酸化物、炭素ドープトケイ素酸化物、炭窒化ケイ素、ケイ素窒化物などのケイ素含有膜からなることができる。幾つかの実施形態では細孔封止層は、5%以下の不均一度、1サイクル当たり1Å以上の堆積速度、又は両方を有する。
本明細書中で述べる堆積方法は、1種類又は複数種類のパージガスを伴うことができる。未消費反応物及び/又は反応副生成物を取り除くために使用されるこのパージガスは、前駆体と反応しない不活性ガスである。パージガスの実例には、これらに限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン(Ne)、水素(H2)、及びこれらの混合物が挙げられる。幾つかの実施形態ではArなどのパージガスを反応器中に約10〜約2000sccmの範囲の流量で約0.1〜1000秒間供給し、それによって反応器中に残っている可能性のある未反応材料及び任意の副生成物を取り除く。
反応を誘起させるために、かつ基材上に細孔封止膜又は塗膜を形成するために有機ケイ素化合物の少なくとも一種類に対してエネルギーが加えられる。このようなエネルギーは、これらに限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、e−ビーム、光子、遠隔プラズマの各方法、及びこれらの組み合わせによって与えることができる。幾つかの実施形態では二次的RF源を使用して基材表面でそのプラズマ特性を改変することもできる。堆積がプラズマを伴う実施形態ではそのプラズマ発生法は、反応器中でプラズマを直接に発生させる直接プラズマ発生法、又は別法ではプラズマを反応器の外側で発生させ、反応器中に供給する遠隔プラズマ発生法を含むことができる。
有機ケイ素化合物前駆体及び/又は他のケイ素含有前駆体は、様々な方法で反応器に送り出すことができる。一実施形態では液体搬送システムを利用することができる。代わりの実施形態では、低揮発度材料を容積測定して送り出すことを可能にするために、例えばMSP Corporation of Shoreview,MNによって製造されているターボ気化器などの液体搬送とフラッシュ蒸留を組み合わせたプロセス装置を利用することもできる。これは、前駆体の熱分解のない再現性のある輸送及び堆積をもたらす。液体搬送配合物に関しては、本明細書中で述べる前駆体を生のままの液体の形で送り出すこともでき、また別法では溶媒配合物又は溶媒を含む組成物の状態で利用することもできる。したがって幾つかの実施形態では前駆体配合物は、基材上に膜を形成する特定の最終使用用途において望ましくかつ有利であることができるような適切な性質の溶媒成分を含むことができる。
幾つかの実施形態では本明細書中で述べる方法は、PECVD/PEALDプラットホーム上でサイクリックプロセスを使用して行われる。シリコンウェハーサセプタを、約100〜約400℃、又は約200〜約400℃の範囲にある1又は複数の温度に保つ。液状有機ケイ素化合物を、真空下で50〜5000mg/分(好ましくは200〜300mg/分)の流量で、チャンバーの絞り弁が閉じた状態で反応器中に送り出す。化合物の液体の流れを止めた後に、ウェハーを、反応器中で1〜8トル(好ましくは2〜4トル)の圧力で前駆体蒸気と一緒にその化合物すなわち「浸液」と接触させる。続いて、不活性ガスが約10〜約300秒、又は約30〜約50秒の範囲の時間のあいだパージした状態で絞り弁を開く。次いでウェハーを、反応器中でUVと、N2、He、Ar、H2などの反応ガスを含むプラズマと、不活性ガスを含むプラズマ(He、Ar)とで処理して、その吸収有機ケイ素化合物を活性化し、反応させ、一方で次のパルスとの反応、すなわち有機ケイ素化合物との接触のための成長する膜の表面を準備する。この処理の工程におけるプラズマの電力は、10〜60秒、好ましくは15秒のプラズマ曝露時間で50〜3000W、好ましくは200〜300Wの範囲である。この一連のイベントにより1プロセスサイクルが完了し、これを10〜30回繰り返して細孔封止層を得る。
一実施形態では、プラズマ強化原子層堆積プロセス(PEALD)、プラズマ強化サイクリック化学気相成長プロセス(PECCVD)又はプラズマ強化ALD様プロセスによって細孔封止層を形成する方法が提供される。この実施形態では、この方法は、
a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
b)前記基材を、式A〜G
を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R2及びR3がそれぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基からなる群より選択され、R4がC1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5が直鎖又は分岐のC1〜C3アルキレンブリッジであり、R7がSi原子とともに四員環、五員環、又は六員環を形成するC2〜C10アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
c)前記反応器をパージガスでパージする工程、
d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、
e)前記反応器をパージガスでパージし、細孔封止膜の所望の厚さが前記表面上に形成されるまで工程b)〜e)を繰り返す工程
を含む。
さらに別の態様では、プラズマ強化原子層堆積プロセス(PEALD)、プラズマ強化サイクリック化学気相成長プロセス(PECCVD)又はプラズマ強化ALD様プロセスによって細孔封止層を形成する方法であって、
a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
b)前記基材を、式A〜G
を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R2及びR3がそれぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基からなる群より選択され、R4がC1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5が直鎖又は分岐のC1〜C3アルキレンブリッジであり、R7がSi原子とともに四員環、五員環、又は六員環を形成するC2〜C10アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
c)前記反応器をパージガスでパージする工程、
d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、
e)前記反応器をパージガスでパージする工程、
f)式A〜Gを有するが工程b)における少なくとも1種の有機ケイ素化合物とは異なる少なくとも1種の有機ケイ素化合物を前記反応器中に導入する工程、
g)前記反応器をパージガスでパージする工程、
h)前記反応器中にプラズマを導入して吸収有機ケイ素化合物と反応させる工程、並びに
i)前記反応器をパージガスでパージし、前記膜の所望の厚さが得られるまで工程b)〜i)を繰り返す工程
を含む方法が提供される。幾つかの実施形態では、工程f)の前に工程b)〜e)を複数のサイクル繰り返す。一つの特定の実施形態では、ジエトキシメチルシランなどのSi−H結合を有する有機ケイ素化合物を工程b)で使用して銅酸化物の銅金属への還元を可能にし、こうして多孔質低k誘電体層の表面での細孔封止層の選択的堆積を容易にする。
[全体的な細孔封止層堆積実験及び結果]
様々な型の細孔封止層及び様々な伝導体(conductions)を堆積させるための様々な実験を200ミリメートル(mm)ウェハー上で実施し、その上に2.2の誘電率を有する多孔質ジエトキシメチルシラン膜の層を構造形成体ジエトキシメチルシラン(DEMS)前駆体及びポロゲン前駆体シクロオクタンから堆積させ、米国特許出願公開第2007/0299239号明細書に記載のように紫外線(UV)硬化した。
細孔封止層を堆積するためのすべての方法は、Astron EX遠隔プラズマ発生器を取り付けた200mmDXZチャンバー中のApplied Materials Precision 5000システム上で、シラン又はTEOSプロセスキットのいずれかを使用して実施した。このプラズマ強化化学気相成長法(PECVD)のチャンバーは、直接液体注入(DLI)による搬送能力を備えた。前駆体はその搬送温度において液体であり、その温度は前駆体の沸点に左右された。低kウェハーに、短いNH3プラズマで損傷を与えて「損傷した多孔質低k誘電体膜」を得た。このプラズマは、エッチング及び灰化によって引き起こされる合併損傷を模倣するように細孔の表面から50nmの深さに至るまでのSi−Me基の一部を取り除いた。PECVD用具上でプラズマ強化原子層堆積(PEALD)法を使用して堆積させた細孔封止層により、この損傷した多孔質低k誘電体膜を有するウェハーを封止した。
厚さ及び632nmにおける屈折率(RI)を、屈折計(SCI−2000)及びエリプソメーター(J.A.Woollam M2000UI)によって測定した。その細孔封止層が功を奏したかどうかを決めるための一つの試験は、偏光解析ポロシメトリー(EP)試験であった。EP試験は、トルエン蒸気が封止されていない細孔中に拡散することによって生ずるウェハーの変色及び楕円偏光スペクトルのシフトを監視する。細孔封止層の厚さは、X線反射率(XRR)、X線光電子分光法(XPS)によるプロファイリング、及び透過型電子顕微鏡法(TEM)によって分析した。窒化タンタル(TaN)又は酸化タンタル(Ta25)の層を、ALD及び前駆体ペンタキス(ジメチルアミノ)タンタルと、それぞれNH3又はH2Oとを使用してウェハー上に堆積させた。TaN又はTa25の厚さは、蛍光X線分析(XRF)によって測定した。銅の選択性は、裸の銅(Cu)ウェハー上で細孔封止層の堆積を繰り返し、その細孔封止層の厚さをエネルギー分散X線分光法(EDX)及びXPSを使用して測定し、次いでそれぞれの厚さを比較する(例えば、裸のCuウェハー上の堆積された細孔封止層の厚さに対する損傷した多孔質低k誘電体膜上の堆積された細孔封止層の厚さ)ことによって実施した。
これらの実験においては細孔封止層を形成するための様々な有機ケイ素化合物下記の条件下で試験した。2.2の初期誘電率を有するPDEMS膜に300℃において300W NH3プラズマで15秒間損傷を与えて、下記の例で使用する損傷した多孔質低k膜を得た。有機ケイ素前駆体化合物を、絞り弁が閉じた状態で約200〜約300℃の範囲にある1又は複数の温度で反応器中へ1分当たり300ミリグラム(mg/分)の流量で1分間流した。このウェハーを2分間のあいだ前駆体蒸気と接触又はそれを浴びせ、次いでそのチャンバーをヘリウムで2分間パージした。次にこれら試料を、200ワット(W)の電力設定で15秒のヘリウムプラズマに曝した。次いでこのプロセス段階を約10〜約30サイクル繰り返した。
[例1:式Aを有する有機ケイ素化合物トリメトキシメチルシランを使用する細孔封止層の形成]
この例では本出願者等は、このプロセスで非窒素含有前駆体又はガスを使用することによって細孔封止層の誘電率を比較的低く保った。本出願者等はまた、銅表面の酸化を防ぐために酸素又は他のオキシダントの使用を排除した。損傷した多孔質低k膜を有機ケイ素化合物トリメトキシメチルシラン(C4123Si)と接触させ、かつヘリウムプラズマで処理した。各サイクルにおいて、有機ケイ素前駆体化合物を反応器中に流し、その損傷した多孔質低k誘電体膜の表面に浴びせた後に200ワットHeプラズマを15秒間ぶつけ、次いでパージした。この過程を約10〜30回繰返して細孔封止層を得た。この細孔封止層は、観察される変色がないことによって、又は30サイクルの処理後にトルエン蒸気の拡散による楕円偏光スペクトルのシフトがないことによって立証されるようにトルエンが損傷した多孔質低k膜中に拡散していないので有効とみなされた。次に、Ta25層を続いて10サイクルの処理でウェハー(その上に細孔封止層を堆積されている)上に堆積させた。Ta含有層を堆積させた後、蛍光X線分析(XRE)によって試験されるように細孔中へのTaの拡散の兆候は存在しなかった。したがって損傷した細孔は、トリメトキシメチルシランとの10サイクルの接触及びHeプラズマによる処理の後、細孔封止層の形成によって封止される。
細孔封止層の堆積速度を検証するために60サイクルの細孔封止過程を実施した。その細孔封止層の膜厚は〜5.8ナノメートル(nm)であり、これは堆積速度が1サイクルにつき1Å未満であったことを示した。細孔封止層の誘電率は約3.2〜約3.4であり、細孔封止後にkを増加させるとは云えないことになる。
トリメトキシメチルシランを使用した細孔封止層の別の堆積を上記Cu基材上で実施した。それらの堆積はCuに対する多少の選択性を示し、裸のCu上での10サイクルの処理の場合、細孔封止層の3Å未満の厚いSiO2がXPSプロファイリングによって検出された。したがって損傷した多孔質低k誘電体膜上に堆積する細孔封止層と比較した場合、Cuに対する3:1の選択性が実証された。
細孔封止層の10サイクルの堆積(例えば、前駆体に曝し、パージし、次いでプラズマに曝す)をまた、パターン化されたOSG低k膜上で実施し、続いてALDによりTa25を堆積させた。図1A及び1Bは、基材の側壁を示すTEM画像であり、1は炭素層、2はTa25層、また3は多孔質低k誘電体層である。部材2と3の間の細孔封止層は薄すぎてTEM画像上に示されない。図1A及び1Bは、下にある低k誘電体膜中へのTaの拡散のない良好な細孔封止効果を示した。図1A及び1Bに示すようにTa25層と低k誘電体層の間に明瞭な界面が示される。図2B及び2Cは、図2A中で示した側壁上の様々な領域から得られたEDXを示し、これは多孔質低k誘電体層3中には検出し得るTaが存在しないことを確証する。
[例2:ジイソプロピルジメトキシシラン(式A)による細孔の封止]
上記有機ケイ素化合物ジイソプロピルジメトキシシラン(C820OSi)を使用して細孔封止層を堆積した。これは、損傷していない低k膜と比較して誘電率を劇的に上昇させることなく細孔を封止するのに適していることが分かった。30サイクルまでの処理の場合、低k膜の誘電率は2.2の出発値から2.29の処理後の値まで増加(すなわち+0.09の変化)したに過ぎない。この有機ケイ素化合物はまた、Cu基材に対する比較的良好な選択性をもたらすことが分かった。すなわち20サイクルの処理の場合、低k膜上の細孔封止層の厚さが約20Åであるのに対し、Cu基材上の細孔封止層の厚さは3.4Å未満であり、約6:1の選択性を示した。
[例3:ジメチルジアセトキシシラン(式C)による細孔の封止]
上記ジメチルジアセトキシシラン(C6124Si)を使用して細孔封止層を堆積した。損傷した多孔質低k膜は、この有機ケイ素化合物との10サイクルの接触、次いでHeプラズマ処理で完全に封止された。この膜の堆積速度は〜1.2Å/サイクルであり、これは細孔が約1.2ナノメートル(nm)の厚さを有する細孔封止層で封止できることを示す。一方、キャップ層の誘電率は4未満であり、これもまたkの変化を低減する可能性がある。Ta25の堆積及びXRF分析は、細孔が封止され、細孔中へのTaの拡散がないことを示した。
[例4:1−メトキシ−1−エトキシ−1−シラシクロペンタン(式E)による細孔の封止]
式C716OSiを有する有機ケイ素前駆体1−メトキシ−1−エトキシ−1−シラシクロペンタンを上記と同様に試験した。NH3で損傷した膜は、10サイクルのHe又はArプラズマ処理で完全に封止することができる。Ta25の堆積及びXRF分析は、細孔が封止され、細孔中へのTaの拡散がないことを示す。動的SIMSのデータはまた、界面における劇的なTa濃度の降下を示し、これは本明細書中で述べた方法の10サイクルよる良好な細孔封止効果を示している。
[例5:1,2−ビス(トリメトキシシリル)エタン(式F)による細孔の封止]
上記と同様に損傷した多孔質低k誘電体膜を、式C8226Si2を有する有機ケイ素化合物1,2−ビス(トリメトキシシリル)エタン((CH3O)3Si−(CH22−Si(OCH33)に接触させた。これを上記と同様にEP試験を使用して試験し、トルエンの拡散はなくEP試験に合格した。変色は観察されず、またエリプソメーターによるシフトは生じなかった。XRF分析はまた、1,2−ビス(トリメトキシシリル)エタンによる10サイクルの処理後の細孔中へのTaの拡散がないことを示した。
前述の記述は主として例示の目的のためのものである。本発明をその実施形態の実例に関して示し、また述べてきたが、本発明の精神及び範囲から逸脱することなくその形態及び詳細において前述のまた様々な他の変更形態、省略形態、及び追加形態をこの中で行うことができることを当業熟練者は理解するはずである。
前述の記述は主として例示の目的のためのものである。本発明をその実施形態の実例に関して示し、また述べてきたが、本発明の精神及び範囲から逸脱することなくその形態及び詳細において前述のまた様々な他の変更形態、省略形態、及び追加形態をこの中で行うことができることを当業熟練者は理解するはずである。以下、本発明の実施形態の例を列記する。
[1]
細孔封止層を形成するための方法であって、
a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
b)前記基材を、式A〜G
を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R 2 及びR 3 がそれぞれ独立して水素原子、C 1 〜C 10 の直鎖のアルキル基、C 3 〜C 10 の分岐のアルキル基、C 3 〜C 10 の環状のアルキル基、C 5 〜C 12 のアリール基、C 2 〜C 10 の直鎖又は分岐のアルケニル基、及びC 2 〜C 10 の直鎖又は分岐のアルキニル基からなる群より選択され、R 4 がC 1 〜C 10 の直鎖のアルキル基、C 3 〜C 10 の分岐のアルキル基、C 3 〜C 10 の環状のアルキル基、C 3 〜C 10 の直鎖又は分岐のアルケニル基、C 3 〜C 10 の直鎖又は分岐のアルキニル基、及びC 5 〜C 12 のアリール基から選択され、R 5 が直鎖又は分岐のC 1 〜C 3 アルキレンブリッジであり、R 7 がSi原子とともに四員環、五員環、又は六員環を形成するC 2 〜C 10 アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
c)前記反応器をパージガスでパージする工程、
d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、並びに
e)前記反応器をパージガスでパージし、前記細孔封止層の所望の厚さが前記表面上に形成され、封止された誘電率層が得られるまで工程b)〜e)を繰り返す工程
を含む、方法。
[2]
前記少なくとも1種の有機ケイ素化合物が式Aを有する化合物を含み、トリメトキシメチルシラン、ジメトキシジメチルシラン、トリエトキシメチルシラン、ジエトキシジメチルシラン、トリメトキシシラン、ジメトキシメチルシラン、ジエトキシメチルシラン、ジメトキシビニルメチルシラン、ジメトキシジビニルシラン、ジエトキシビニルメチルシラン、及びジエトキシジビニルシランからなる群より選択される、項目1に記載の方法。
[3]
前記少なくとも1種の有機ケイ素化合物が式Bを有する化合物を含み、1,1,3,3−テトラメトキシ−1、3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサンからなる群より選択される、項目1に記載の方法。
[4]
前記少なくとも1種の有機ケイ素化合物が式Cを有する化合物を含み、ジメチルジアセトキシシラン及びメチルトリアセトキシシランからなる群より選択される、項目1に記載の方法。
[5]
前記少なくとも1種の有機ケイ素化合物が式Dを有する化合物を含み、1,1,3,3−テトラアセトキシ−1,3−ジメチルジシロキサン及び1,3−テトラアセトキシ−1,1,3,3−テトラメチルジシロキサンからなる群より選択される、項目1に記載の方法。
[6]
前記少なくとも1種の有機ケイ素化合物が式Eを有する化合物を含み、1−メチル−1−メトキシ−1−シラシクロペンタン、1−メチル−1−エトキシ−1−シラシクロペンタン、1−メチル−1−イソ−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−ブトキシ−1−シラシクロペンタン、1−メチル−1−sec−ブトキシ−1−シラシクロペンタン、1−メチル−1−イソ−ブトキシ−1−シラシクロペンタン、1−メチル−1−tert−ブトキシ−1−シラシクロペンタン、1−メトキシ−1−シラシクロペンタン、1−エトキシ−1−シラシクロペンタン、1−メチル−1−メトキシ−1−シラシクロブタン、1−メチル−1−エトキシ−1−シラシクロブタン、1−メトキシ−1−シラシクロブタン、及び1−エトキシ−1−シラシクロブタンからなる群より選択される、項目1に記載の方法。
[7]
前記少なくとも1種の有機ケイ素化合物が式Fを有する化合物を含み、1,2−ビス(ジメトキシメチルシリル)メタン、1,2−ビス(ジエトキシメチルシリル)メタン、1,2−ビス(ジメトキシメチルシリル)エタン、及び1,2−ビス(ジエトキシメチルシリル)エタンからなる群より選択される、項目1に記載の方法。
[8]
前記細孔封止層の厚さが約5nm以下である、項目1に記載の方法。
[9]
前記細孔封止層の厚さが約3nm以下である、項目1に記載の方法。
[10]
前記細孔封止層の厚さが約1nm以下である、項目1に記載の方法。
[11]
前記多孔質低誘電率層が第1の誘電率を有し、封止された低誘電率層が第2の誘電率を有し、前記第1の誘電率と前記第2の誘電率の差が0.5以下である、項目1に記載の方法。
[12]
前記差が0.4以下である、項目11に記載の方法。
[13]
前記差が0.2以下である、項目11に記載の方法。
[14]
前記多孔質低誘電率層が金属をさらに含み、多孔質低誘電体膜上の前記細孔封止層の第1の堆積速度と前記金属上の前記細孔封止層の第2の堆積速度が2倍〜10倍大きい、項目1に記載の方法。
[15]
プラズマ強化原子層堆積プロセス(PEALD)、プラズマ強化サイクリック化学気相成長プロセス(PECCVD)又はプラズマ強化ALD様プロセスによって細孔封止層を形成する方法であって、
a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
b)前記基材を、式A〜G
を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R 2 及びR 3 がそれぞれ独立して水素原子、C 1 〜C 10 の直鎖のアルキル基、C 3 〜C 10 の分岐のアルキル基、C 3 〜C 10 の環状のアルキル基、C 5 〜C 12 のアリール基、C 2 〜C 10 の直鎖又は分岐のアルケニル基、及びC 2 〜C 10 の直鎖又は分岐のアルキニル基からなる群より選択され、R 4 がC 1 〜C 10 の直鎖のアルキル基、C 3 〜C 10 の分岐のアルキル基、C 3 〜C 10 の環状のアルキル基、C 3 〜C 10 の直鎖又は分岐のアルケニル基、C 3 〜C 10 の直鎖又は分岐のアルキニル基、及びC 5 〜C 12 のアリール基から選択され、R 5 が直鎖又は分岐のC 1 〜C 3 アルキレンブリッジであり、R 7 がSi原子とともに四員環、五員環、又は六員環を形成するC 2 〜C 10 アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
c)前記反応器をパージガスでパージする工程、
d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、
e)前記反応器をパージガスでパージする工程、
f)式A〜Gを有するが工程b)における少なくとも1種の有機ケイ素化合物とは異なる少なくとも1種の有機ケイ素化合物を前記反応器中に導入する工程、
g)前記反応器をパージガスでパージする工程、
h)前記反応器中にプラズマを導入して吸収有機ケイ素化合物と反応させる工程、並びに
i)前記反応器をパージガスでパージし、前記膜の所望の厚さが得られるまで工程b)〜i)を繰り返す工程
を含む、方法。
[16]
工程f)の前に工程b)〜e)を複数のサイクル繰り返す、項目15に記載の方法。

Claims (16)

  1. 細孔封止層を形成するための方法であって、
    a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
    b)前記基材を、式A〜G
    を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R2及びR3がそれぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基からなる群より選択され、R4がC1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5が直鎖又は分岐のC1〜C3アルキレンブリッジであり、R7がSi原子とともに四員環、五員環、又は六員環を形成するC2〜C10アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
    c)前記反応器をパージガスでパージする工程、
    d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、並びに
    e)前記反応器をパージガスでパージし、前記細孔封止層の所望の厚さが前記表面上に形成され、封止された誘電率層が得られるまで工程b)〜e)を繰り返す工程
    を含む、方法。
  2. 前記少なくとも1種の有機ケイ素化合物が式Aを有する化合物を含み、トリメトキシメチルシラン、ジメトキシジメチルシラン、トリエトキシメチルシラン、ジエトキシジメチルシラン、トリメトキシシラン、ジメトキシメチルシラン、ジエトキシメチルシラン、ジメトキシビニルメチルシラン、ジメトキシジビニルシラン、ジエトキシビニルメチルシラン、及びジエトキシジビニルシランからなる群より選択される、請求項1に記載の方法。
  3. 前記少なくとも1種の有機ケイ素化合物が式Bを有する化合物を含み、1,1,3,3−テトラメトキシ−1、3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサンからなる群より選択される、請求項1に記載の方法。
  4. 前記少なくとも1種の有機ケイ素化合物が式Cを有する化合物を含み、ジメチルジアセトキシシラン及びメチルトリアセトキシシランからなる群より選択される、請求項1に記載の方法。
  5. 前記少なくとも1種の有機ケイ素化合物が式Dを有する化合物を含み、1,1,3,3−テトラアセトキシ−1,3−ジメチルジシロキサン及び1,3−テトラアセトキシ−1,1,3,3−テトラメチルジシロキサンからなる群より選択される、請求項1に記載の方法。
  6. 前記少なくとも1種の有機ケイ素化合物が式Eを有する化合物を含み、1−メチル−1−メトキシ−1−シラシクロペンタン、1−メチル−1−エトキシ−1−シラシクロペンタン、1−メチル−1−イソ−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−プロポキシ−1−シラシクロペンタン、1−メチル−1−n−ブトキシ−1−シラシクロペンタン、1−メチル−1−sec−ブトキシ−1−シラシクロペンタン、1−メチル−1−イソ−ブトキシ−1−シラシクロペンタン、1−メチル−1−tert−ブトキシ−1−シラシクロペンタン、1−メトキシ−1−シラシクロペンタン、1−エトキシ−1−シラシクロペンタン、1−メチル−1−メトキシ−1−シラシクロブタン、1−メチル−1−エトキシ−1−シラシクロブタン、1−メトキシ−1−シラシクロブタン、及び1−エトキシ−1−シラシクロブタンからなる群より選択される、請求項1に記載の方法。
  7. 前記少なくとも1種の有機ケイ素化合物が式Fを有する化合物を含み、1,2−ビス(ジメトキシメチルシリル)メタン、1,2−ビス(ジエトキシメチルシリル)メタン、1,2−ビス(ジメトキシメチルシリル)エタン、及び1,2−ビス(ジエトキシメチルシリル)エタンからなる群より選択される、請求項1に記載の方法。
  8. 前記細孔封止層の厚さが約5nm以下である、請求項1に記載の方法。
  9. 前記細孔封止層の厚さが約3nm以下である、請求項1に記載の方法。
  10. 前記細孔封止層の厚さが約1nm以下である、請求項1に記載の方法。
  11. 前記多孔質低誘電率層が第1の誘電率を有し、封止された低誘電率層が第2の誘電率を有し、前記第1の誘電率と前記第2の誘電率の差が0.5以下である、請求項1に記載の方法。
  12. 前記差が0.4以下である、請求項11に記載の方法。
  13. 前記差が0.2以下である、請求項11に記載の方法。
  14. 前記多孔質低誘電率層が金属をさらに含み、多孔質低誘電体膜上の前記細孔封止層の第1の堆積速度と前記金属上の前記細孔封止層の第2の堆積速度が2倍〜10倍大きい、請求項1に記載の方法。
  15. プラズマ強化原子層堆積プロセス(PEALD)、プラズマ強化サイクリック化学気相成長プロセス(PECCVD)又はプラズマ強化ALD様プロセスによって細孔封止層を形成する方法であって、
    a)反応器中に多孔質低誘電率層を有する基材を提供する工程、
    b)前記基材を、式A〜G
    を有する化合物からなる群より選択される少なくとも1種の有機ケイ素化合物であって、式中、R2及びR3がそれぞれ独立して水素原子、C1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C5〜C12のアリール基、C2〜C10の直鎖又は分岐のアルケニル基、及びC2〜C10の直鎖又は分岐のアルキニル基からなる群より選択され、R4がC1〜C10の直鎖のアルキル基、C3〜C10の分岐のアルキル基、C3〜C10の環状のアルキル基、C3〜C10の直鎖又は分岐のアルケニル基、C3〜C10の直鎖又は分岐のアルキニル基、及びC5〜C12のアリール基から選択され、R5が直鎖又は分岐のC1〜C3アルキレンブリッジであり、R7がSi原子とともに四員環、五員環、又は六員環を形成するC2〜C10アルキルジラジカルから選択され、m=0、1又は2、n=0、1又は2である少なくとも1種の有機ケイ素化合物と接触させて、前記多孔質低誘電率層の表面の少なくとも一部の上に吸収有機ケイ素化合物を提供する工程、
    c)前記反応器をパージガスでパージする工程、
    d)前記反応器中にプラズマを導入して前記吸収有機ケイ素化合物と反応させる工程、
    e)前記反応器をパージガスでパージする工程、
    f)式A〜Gを有するが工程b)における少なくとも1種の有機ケイ素化合物とは異なる少なくとも1種の有機ケイ素化合物を前記反応器中に導入する工程、
    g)前記反応器をパージガスでパージする工程、
    h)前記反応器中にプラズマを導入して吸収有機ケイ素化合物と反応させる工程、並びに
    i)前記反応器をパージガスでパージし、前記膜の所望の厚さが得られるまで工程b)〜i)を繰り返す工程
    を含む、方法。
  16. 工程f)の前に工程b)〜e)を複数のサイクル繰り返す、請求項15に記載の方法。
JP2017246067A 2014-08-14 2017-12-22 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物 Pending JP2018064119A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462037392P 2014-08-14 2014-08-14
US62/037,392 2014-08-14
US14/820,982 US20160049293A1 (en) 2014-08-14 2015-08-07 Method and composition for providing pore sealing layer on porous low dielectric constant films
US14/820,982 2015-08-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015160150A Division JP6298023B2 (ja) 2014-08-14 2015-08-14 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物

Publications (1)

Publication Number Publication Date
JP2018064119A true JP2018064119A (ja) 2018-04-19

Family

ID=53836013

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015160150A Active JP6298023B2 (ja) 2014-08-14 2015-08-14 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物
JP2017246067A Pending JP2018064119A (ja) 2014-08-14 2017-12-22 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015160150A Active JP6298023B2 (ja) 2014-08-14 2015-08-14 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物

Country Status (7)

Country Link
US (2) US20160049293A1 (ja)
EP (1) EP2993687B1 (ja)
JP (2) JP6298023B2 (ja)
KR (2) KR101741159B1 (ja)
CN (1) CN105401131B (ja)
SG (1) SG10201506348YA (ja)
TW (2) TWI634229B (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
JP6499001B2 (ja) * 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
KR101868483B1 (ko) 2016-10-13 2018-07-23 경북대학교 산학협력단 영상 대조에 따른 두개의 에지 블러 파라미터 예측 방법
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
JP6663400B2 (ja) * 2017-09-11 2020-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
JP7274578B2 (ja) * 2018-11-27 2023-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜
US20210017198A1 (en) * 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
CN114556527A (zh) * 2019-09-13 2022-05-27 弗萨姆材料美国有限责任公司 单烷氧基硅烷和二烷氧基硅烷以及由其制备的致密有机二氧化硅膜
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer
WO2022066508A1 (en) * 2020-09-22 2022-03-31 Versum Materials Us, Llc Additives to enhance the properties of dielectric films
CN116490640A (zh) * 2020-10-20 2023-07-25 弗萨姆材料美国有限责任公司 烷氧基二硅氧烷和由其制备的致密有机硅膜

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10287747A (ja) * 1997-02-17 1998-10-27 Hokushin Ind Inc 新規ケイ素含有高分子化合物およびその調製法
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2008532268A (ja) * 2005-02-18 2008-08-14 東京エレクトロン株式会社 誘電体膜の処理のための方法およびシステム
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
JP2011526078A (ja) * 2008-06-27 2011-09-29 アプライド マテリアルズ インコーポレイテッド 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2012149334A (ja) * 2010-12-28 2012-08-09 Kirin Brewery Co Ltd ガスバリア性プラスチック成形体及びその製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7135408B2 (en) * 2002-10-30 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure
US7718544B2 (en) * 2005-06-30 2010-05-18 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low diffusion coefficient
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP5181512B2 (ja) * 2007-03-30 2013-04-10 富士通セミコンダクター株式会社 電子デバイスの製造方法
KR101542636B1 (ko) * 2007-12-19 2015-08-06 램 리써치 코포레이션 나노다공성 로우-k 유전체 재료 처리 방법
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
CN102054757B (zh) * 2009-11-10 2013-09-11 中芯国际集成电路制造(上海)有限公司 集成电路铜互连结构的制作方法
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10287747A (ja) * 1997-02-17 1998-10-27 Hokushin Ind Inc 新規ケイ素含有高分子化合物およびその調製法
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2008532268A (ja) * 2005-02-18 2008-08-14 東京エレクトロン株式会社 誘電体膜の処理のための方法およびシステム
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2011526078A (ja) * 2008-06-27 2011-09-29 アプライド マテリアルズ インコーポレイテッド 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
JP2012149334A (ja) * 2010-12-28 2012-08-09 Kirin Brewery Co Ltd ガスバリア性プラスチック成形体及びその製造方法

Also Published As

Publication number Publication date
TWI634229B (zh) 2018-09-01
US20180277360A1 (en) 2018-09-27
TW201623667A (zh) 2016-07-01
KR101741159B1 (ko) 2017-05-29
US20160049293A1 (en) 2016-02-18
TWI598456B (zh) 2017-09-11
EP2993687B1 (en) 2020-02-05
KR102376352B1 (ko) 2022-03-17
JP2016042576A (ja) 2016-03-31
CN105401131B (zh) 2018-10-19
KR20180037096A (ko) 2018-04-11
KR20160021722A (ko) 2016-02-26
SG10201506348YA (en) 2016-03-30
EP2993687A1 (en) 2016-03-09
TW201726966A (zh) 2017-08-01
JP6298023B2 (ja) 2018-03-20
CN105401131A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
JP6298023B2 (ja) 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物
JP6950012B2 (ja) SiOCN薄膜の形成
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
CN107429391B (zh) 组合物和使用所述组合物沉积含硅膜的方法
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP2018011057A (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
US8932674B2 (en) Vapor deposition methods of SiCOH low-k films
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
CN114174553A (zh) 组合物和使用该组合物沉积含硅膜的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190903