TW201726966A - 於多孔低介電常數膜上提供孔密封層的方法和組合物 - Google Patents

於多孔低介電常數膜上提供孔密封層的方法和組合物 Download PDF

Info

Publication number
TW201726966A
TW201726966A TW106109400A TW106109400A TW201726966A TW 201726966 A TW201726966 A TW 201726966A TW 106109400 A TW106109400 A TW 106109400A TW 106109400 A TW106109400 A TW 106109400A TW 201726966 A TW201726966 A TW 201726966A
Authority
TW
Taiwan
Prior art keywords
layer
low dielectric
dielectric constant
group
porous low
Prior art date
Application number
TW106109400A
Other languages
English (en)
Other versions
TWI634229B (zh
Inventor
李建恒
雷蒙 尼克勞斯 孟提
羅伯特 戈登 瑞吉威
新建 雷
馬克 李納德 歐尼爾
江學忠
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201726966A publication Critical patent/TW201726966A/zh
Application granted granted Critical
Publication of TWI634229B publication Critical patent/TWI634229B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文所述的是藉由將另一薄介電膜,在本文中稱作孔密封層,提供於該多孔低k層的至少一表面上而密封多孔低介電(“低k”)層的細孔以防止下方層的介電常數進一步損失之方法和組合物。有一態樣中,該方法包含:使多孔低介電常數膜與至少一有機矽化合物接觸以提供被吸收的有機矽化合物並且以紫外光、電漿或該二者處理該被吸收的有機矽化合物,而且重複至形成期望厚度的孔密封層為止。

Description

於多孔低介電常數膜上提供孔密封層的方法和組合物 相關申請案之交互參照
本案請求2014年8月14日申請的美國臨時申請案序號第62/037,392號的優先權,在此以引用的方式將其全文併入本文。
本文所述的是藉由將另一薄介電膜,在本文中稱作孔密封層,提供於該多孔低k層的至少一表面上而密封多孔低介電(“低k”)層的細孔以防止下方層的介電常數進一步損失之方法和組合物。
今日積體電路(IC)製造廠商面臨之一挑戰是藉著原子層沉積(ALD)或物理氣相沉積(PVD)金屬膜(例如,但不限於,銅、鈷或其他金屬或其合金)將多孔低介電(“低k”)材料積體於窄細的裝置幾何形狀。由於該等低k膜或層的介電常數降至低於,舉例來說,約2.5,所以這些膜的孔隙率百分比係為約30%或更高。當這些膜內的孔隙率水準提高時,由於該 膜的細孔的剪力數(shear number)使該等細孔互相連通開始變得越來越多。
當這些多孔低k膜積體時,該等膜通常先利用光阻劑和使用氟碳化合物及氧和任意氫氟碳化物的反應性離子蝕刻(RIE)電漿蝕刻步驟形成圖案。等到通孔和溝槽形成之後,以電漿灰化步驟移除剩下的光阻劑,該電漿一般為氫或氧電漿。任意地,能用氨(NH3)來代替氫(H2)或能用二氧化碳(CO2)來代替氧(O2)。典型的多孔低k膜包含多孔有機矽酸鹽(OSG)。在該蝕刻步驟、該灰化步驟或該二者期間,該等多孔OSG膜經常依接近表面且鍵結於該膜的Si或Si-Me基團的甲基被擴散至該等多孔膜中的中性自由基反應掉的方式遭到損壞。在某些例子中,該等Si-Me基團形成Si-OH,其負面地影響該膜的疏水性。等到該光阻劑被移除之後,在該通孔底部的金屬膜頂端上的阻障氮化物經常以“擊穿”步驟移除以迅速移除該SiCN阻障氮化物並且暴露出該金屬層。
典型地,下一步驟是沉積阻障物或阻障層以防止金屬擴散至該特徵中。阻障層的實例有氮化鉭(TaN)層,該TaN層上沉積著金屬鉭(Ta)層。儘管該TaN和Ta層皆藉由物理氣相沉積(PVD)或濺鍍配合縮小的特徵尺寸和對較薄細阻障物例如銅的要求來沉積,但是從PVD TaN轉為原子層沉積(ALD)TaN卻會發生變化。該等OSG膜的細孔互相連通性伴隨電漿損壞而提高造成用以沉積ALD銅阻障物的金屬前驅物(例如,伍(二甲基胺基)鉭)、用於ALD氮化鉭的Ta(NMe2)5擴散至該多孔低k介電膜中,其不利地影響該膜的絕緣性。為了 防止該等含金屬前驅物在ALD期間擴散至該多孔OSG,吾人所欲為在該ALD製程之前密封該多孔OSG膜的表面。然而,由於暴露出細孔的溝槽和通孔特徵窄細(例如,溝槽寬度小於20nm),所以吾人所欲為此孔密封層儘可能佔據小的空間。同樣也有幫助的是若該孔密封在該多孔低k層(例如該OSG層)的表面處或附近的細孔內發生,以致於該多孔低k腊頂部上長出最少的孔密封層,從而使溝槽/通孔寬度損失減至最低。
美國專利公開案第2013/0337583號描述一種介電常數膜的製程相關損壞之修護方法,其包括(i)使含矽的第一氣體吸附於該受損介電膜的表面上而不在沒有反應性物種的情況下沉積出一膜;(ii)使含矽的第二氣體吸附於該受損介電膜的表面上,接著將反應性物種施加於該膜的表面以在其上形成單層;及(iii)重複步驟(ii)。在步驟(i)中使表面暴露的期間比在步驟(ii)中使表面暴露的期間更久。
美國專利第8,236,684號描述一種用於處理罩蓋著緻密介電層的多孔介電層之方法及設備。將該等介電層圖案化並且在該基材上保形地沉積緻密介電層。該緻密保形性介電層密封該多孔介電層的細孔以抵抗可能滲入該等細孔的物種的接觸。
美國專利公開案第2014/0004717號描述一種修護並且降低低-k介電層的介電常數之方法,其係藉由使該多孔低-k介電層暴露於含乙烯基矽烷的化合物並且任意地使該多孔低-k介電層暴露於紫外線(U/V)固化製造。
在發展密封該多孔低k層的細孔之方法時得克服 許多挑戰。首先,因為該通孔底部處的金屬(例如,銅、鈷、其他金屬或其合金)層係暴露於該孔密封製程,所以在沉積該孔密封層的期間應該避免氧化性環境。其次,吾人所欲為將該孔密封層選擇性地沉積於該多孔低k層上面/裡面而不需在該金屬上面沉積一層,其係當今製程的一項挑戰。最後,因為該低k材料的細孔得被密封,所以該孔密封材料必須選擇以便保持該層的介電常數,或最起碼不會顯著提高該介電常數使該多孔低k層(含有沉積於其上的孔密封層或密封多孔低k層)的介電常數保持3.0或更低,或2.9或更低,或2.7或更低,或2.5或更低,或2.4或更低,或2.3或更低,或2.2或更低,或2.1或更低。因此,仍然需要一種密封圖案化多孔低k層(例如但不限於多孔OSG層)的細孔之方法,其能處理這些挑戰中的一或多者。
本發明藉由提供薄介電膜或孔密封層滿足上述一或更多要求,該薄介電膜或孔密封層密封下方多孔低k膜的受損細孔而且其中該孔密封層提供下列一或多者:(a)如該多孔低k膜的組成分析測得的,防止該阻障金屬擴散至該多孔低k膜中;(b)使該下方多孔低k膜的介電常數變化,亦即介於該孔密封層沉積於其上之前的多孔低k膜介電常數與該孔密封層沉積於其上之後的介電常數之間的差值,係為0.5或更低、0.4或更低、0.3或更低、0.2或更低、0.1或更低;及(c)相對於該金屬(例如銅、鈷或其他金屬或其合金)層選擇 性地沉積於該多孔低k膜上,亦即該孔密封層於該多孔低k膜上的沉積速率比該孔密封層於該金屬或銅層上的沉積速率更高約8至約10倍,或更高約5至約8倍,或更高約2至約5倍。
有一態樣中,提供一種用於形成孔密封層之方法,該方法包含以下步驟:a.將具有多孔低介電層的基材提供於反應器中;b.使該基材與至少一有機矽化合物接觸以於該多孔低介電層的至少一部分表面上提供被吸收的有機矽化合物,該至少一有機矽化合物係選自由具有下列式A至G的化合物所組成的群組: 其中R2和R3係各自獨立地選自由氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、 C2至C10線性或分支烯基及C2至C10線性或分支炔基所組成的群組;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;R5係線性或分支C1-3伸烷基橋;而且R7係選自與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團,而且其中m=0、1或2而且n=0、1或2;c.以洗淨氣體洗淨該反應器;d.將電漿引進該反應器以與被吸收的有機矽化合物反應,及e.以洗淨氣體洗淨該反應器;其中步驟b至e係重複至期望厚度的孔密封膜形成於該表面上為止並且提供一密封介電常數層。在某些具體實施例中,該多孔低介電層具有第一介電常數而且該密封低介電常數層具有第二介電常數而且該第一介電常數與該第二介電常數之間的差數係為0.5或更小。在各個不同具體實施例中,該多孔低介電層另外包含金屬而且其中該孔密封層於該多孔低介電膜上的第一沉積速率比該孔密封層於該金屬上的第二沉積速率更大2倍至更大10倍。
1‧‧‧碳層
2‧‧‧Ta2O5
3‧‧‧多孔低介電層
圖1(a)和(b)提供包含多孔低k介電膜的圖案化晶圓的側壁之穿透式電子顯微術(TEM)影像,該多孔低k介電膜覆蓋著依照實施例1的方法之孔密封層。圖1(a)和(b)顯示介於Ta2O5 層與多孔低k介電層之間的清楚界面,其表示該孔密封層的良好孔密封作用。
如實施例1所述,圖2(a)、2(b)和2(c)提供從覆蓋著孔密封層及Ta2O5層的圖案化晶圓的側壁獲得的能量色散X射線光譜術(EDX)影像,該孔密封層係利用該有機矽化合物三甲氧基甲基矽烷來沉積,而且該Ta2O5層係利用伍(二甲基胺基)鉭來沉積。於該多孔低介電層中沒發現Ta。
本文描述的是一種使用孔密封膜或層的組合物及方法,其中使用經過下列製程中的一或多者卻仍留在該膜上:蝕刻、灰化、平坦化及/或其組合,的外露SiOH基團,內含於多孔低介電常數(低k)或有機矽酸玻璃(OSG)膜或層,當成用於孔密封膜或層的電漿強化原子層沉積(ALD)的定錨。示範低k OSG膜係藉由化學氣相沉積(CVD)製程使用含矽前驅物二乙氧基甲基矽烷(例如由Air Products and Chemicals提供的DEMS®前驅物)和致孔劑前驅物來沉積,該致孔劑前驅物隨後利用熱退火、紫外線固化(UV)步驟或其組合從該低k膜移除。該措辭“低介電常數膜”或“低k膜”意指一低k膜例如介電常數為3.0或更小,或2.7或更小,或2.5或更小,或2.3或更小的多孔OSG膜。在某些具體實施例中,該多孔低k膜或層包含由下列鍵中的至少一或多者組成的籠狀和網狀結構:Si-O鍵、Si-CH3鍵及Si-CHx鍵,而且另外包含細孔或孔隙。在各個不同具體實施例中,本文所述的低k 膜另外含有如橢圓偏光測孔法測量的至少15%或更大、至少20%或更大、至少25%或更大或至少30%或更大的孔隙率百分比。該措辭“受損多孔低介電膜”或“受損低k膜”意指經歷下列製程中的一或多者之低k膜例如多孔OSG膜:蝕刻、灰化、平坦化及/或其組合。
在該方法中,將具有受損多孔低k層的基材置於反應器或沉積艙中。接著,使受損多孔低k介電層的至少一部分表面,舉例來說,蝕刻通孔的例如水平表面,與有機矽化合物接觸,該有機矽化合物包含選自由下列本文所述的式A至G所組成的群組中的至少一者以提供被吸收的有機矽層於該表面的至少一部分上。接下來,以選自紫外(UV)光、電漿或該二者來處理該低k多孔層,該電漿包含選自氮(N2)、氬(Ar)、氦(He)、氫(H)、氨(NH3)及其組合中的至少一者。重複該接觸及處理加工步驟直到於該多孔低k層的至少一部分表面上形成期望厚度的孔密封層為止。結果,使該多孔低k層中的開孔被密封起來。用於將該孔密封層形成於該多孔低介電層的至少一部分表面上的示範沉積方法包括,但不限於,電漿強化原子層沉積製程(PEALD)、電漿強化循環式化學氣相沉積(PECCVD)及電漿強化類ALD製程。
在本發明的其他具體實施例中,該低k層的表面係以具有至少一烷氧基且具有式A的有機矽化合物來處理:(R4O)3-mSiR2R3 m A其中R2和R3係各自獨立地選自氫原子、C1至C10線性烷基、 C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基及C3至C10線性或分支炔基、C5-C12芳基,而且其中m=0、1或2。具有式A的示範化合物包括,但不限於,三甲氧基甲基矽烷、二甲氧基二甲基矽烷、三乙氧基甲基矽烷、二乙氧基二甲基矽烷、三甲氧基矽烷、二甲氧基甲基矽烷、二異丙基二甲氧基矽烷、二乙氧基甲基矽烷、二甲氧基乙烯基甲基矽烷、二甲氧基二乙烯基矽烷、二乙氧基乙烯基甲基矽烷及二乙氧基二乙烯基矽烷。在該受損多孔低k膜與該式A的有機矽化合物接觸而於該多孔低k膜的至少一部分表面上形成被吸收的有機矽化合物之具體實施例中,該基材接著以電漿來處理,該電漿包含選自由氬(Ar)、氦(He)、氫(H)或其組合電漿所組成的群組中的至少一者,該電漿被引進該反應器以促進進一步反應並且形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層的至少一部分表面接觸及以電漿處理的製程步驟係重複至獲得期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來以提供密封多孔低介電或多孔低k層。
下列流程1提供本文所述的製程之一具體實施例,其中使多孔低k層的至少一部分表面與具有式A的有機矽化合物接觸,其中R2係乙烯基,以經由該有機矽化合物的有機胺基與Si-OH的反應將該等含乙烯基的矽片斷錨定於表面上並且提供被吸收的有機矽化合物。接著以紫外光、包含 氬(Ar)、氦(He)、氫(H)或其組合的電漿或該二者來處理該表面以促進該等錨定的含乙烯基的矽片斷與Si-H之間的反應並且以紫外光(UV)及/或電漿創造至少一Si-CH2CH2-Si鍵聯。使該有機矽化合物與多孔低k層的至少一部分表面接觸及以UV、電漿或該二者來處理的製程步驟係重複至形成期望厚度的孔密封層為止。結果,於該低k層中的開孔被密封起來以提供密封多孔低介電或多孔低k層。
在本文所述方法的另一具體實施例中,使該多孔低k層與具有下列式B的有機矽化合物接觸以將被吸收的有機矽化合物提供於至少一部分表面上,該有機矽化合物具有至少一烷氧基及Si-O-Si鍵聯:(R4O)3-nR2 nSi-O-SiR2 n(OR4)3-n B其中R2係選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支 烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基及C3至C10線性或分支炔基、C5-C12芳基,而且其中n=0、1或2。具有式B的示範化合物包括,但不限於,1,1,3,3-四甲氧基-1,3-二甲基二矽氧烷、1,1,3,3-四乙氧基-1,3-二甲基二矽氧烷、1,3-二甲氧基-1,1,3,3-四甲基二矽氧烷及1,3-二乙氧基-1,1,3,3-四甲基二矽氧烷。該基材接著以UV、電漿或該二者來處理,該電漿包含選自由氬(Ar)、氦(He)、氫(H)或其組合所組成的群組中的至少一者,該電漿被引進該反應器以促進進一步反應並且形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層表面接觸及以紫外光(UV)及/或電漿處理的製程係重複至獲得期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來以提供密封多孔低介電或多孔低k層。
在本文所述方法的另一具體實施例中,使該多孔低k層與下列式C所示的具有至少一羧基的有機矽化合物接觸:(R4COO)3-mSiR2R3 m C其中R2和R3係各自獨立地選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及 C5-C12芳基,而且其中m=0、1或2。具有式C的示範化合物包括,但不限於,二甲基二乙醯氧基矽烷及甲基三乙醯氧基矽烷。該基材接著以UV、電漿或該二者來處理,該電漿包含選自由氬(Ar)、氦(He)、氫(H)或其組合所組成的群組中的至少一者,該電漿被引進該反應器以促進進一步反應並且形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層表面接觸及以紫外光(UV)及/或電漿處理的製程係重複至獲得期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來。
在本文所述方法的另一具體實施例中,使該多孔低k層與下列式D所示的具有至少一羧基且具有Si-O-Si鍵聯的有機矽化合物接觸:(R4COO)3-nR2 nSi-O-SiR2 n(OOCR4)3-n D其中R2和R3係選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基及C3至C10線性或分支炔基、C5-C12芳基,而且其中n=0、1或2。具有式D的示範化合物包括,但不限於,1,1,3,3-四乙醯氧基-1,3-二甲基二矽氧烷及1,3-四乙醯氧基-1,1,3,3-四甲基二矽氧烷。該基材接著以UV、電漿或該二者來處理,該電漿包含選自由氬(Ar)、氦(He)、氫(H)或其組合所組成的群組中的至少一者,該電漿被引進該反應器以促 進進一步反應並且形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層表面接觸及以紫外光(UV)及/或電漿處理的製程係重複至獲得期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來。
在本文所述方法的另一具體實施例中,使該多孔低k層與下列式E所示的具有至少一烷氧基的有機矽化合物接觸: 其中R2係選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;R7係選自與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團。在式E之一特定具體實施例中,R2係選自氫、甲基或乙基,而R4係選自甲基、乙基、丙基及丁基。具有式E的示範化合物包括,但不限於,1-甲基-1-甲氧基-1-矽雜環戊烷、1-甲基-1-乙氧基-1-矽雜環戊烷、1-甲基-1-異丙氧基-1-矽雜環戊烷、1-甲基-1-正丙氧基-1-矽雜環戊烷、1-甲基-1-正丁氧基-1-矽雜環戊烷、1-甲基-1-第二丁氧基-1-矽雜環戊烷、1-甲基-1-異丁氧基-1-矽雜環戊烷、1-甲基-1-第三丁氧基-1-矽雜環戊 烷、1-甲氧基-1-矽雜環戊烷、1-乙氧基-1-矽雜環戊烷、1-甲基-1-甲氧基-1-矽雜環丁烷、1-甲基-1-乙氧基-1-矽雜環丁烷、1-甲氧基-1-矽雜環丁烷及1-乙氧基-1-矽雜環丁烷。該基材接著以UV、電漿或該二者來處理,該電漿包含選自由氬(Ar)、氦(He)、氫(H)或其組合所組成的群組中的至少一者,該電漿被引進該反應器以促進進一步反應並且形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層表面接觸及以紫外光(UV)及/或電漿處理的製程係重複至獲得期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來。
在本文所述方法的另一具體實施例中,使該多孔低k層與下列式F所示的具有至少一烷氧基的有機矽化合物接觸:(R4O)3-nR2 nSi-R5-SiR2 n(OR4)3-n F其中R2係獨立地選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基,R5係線性或分支C1-3伸烷基橋例如,但不限於,含1、2或3個碳原子的基團,例如但不限於亞甲基或伸乙基橋,而且其中n=0、1或2。具有式F的示範化合物包括,但不限於,1,2-雙(二甲氧基甲基矽烷基)甲烷、1,2-雙(二乙氧基甲基矽烷基)甲烷、1,2-雙(二甲氧基甲基矽烷基)乙烷、1,2-雙(三甲氧基矽 烷基)乙烷及1,2-雙(二乙氧基甲基矽烷基)乙烷。
在本文所述方法的另一具體實施例中,使該多孔低k層的表面與帶有Si-O-Si鍵聯的下列式G所示的具有至少一有機胺基錨定基團的有機矽化合物接觸:(R3R4N)3-nR2 nSi-O-SiR2 n(NR3R4)3-n G其中R2和R3係各自獨立地選自氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;而且其中n=0、1或2。具有式G的示範化合物包括,但不限於,1,3-二甲基胺基-1,1,3,3-四甲基二矽氧烷、1,3-二乙基胺基-1,1,3,3-四甲基二矽氧烷及1,3-二異丙基胺基-1,1,3,3-四甲基二矽氧烷。下列流程2提供本文所述方法之一具體實施例,其中使該受損多孔低k膜與具有式G和至少一錨定基團的有機矽接觸,該有機矽與該受損多孔低k介電膜外露的Si-OH基團反應以使該開孔能被密封起來。
在各個不同具體實施例中,該多孔低k介電膜係以UV來處理,把包含選自氬(Ar)、氦(He)、氫(H)或其組合中的至少一者的電漿引進該反應器以促進進一步反應而形成更多Si-O-Si鍵聯。該有機矽化合物與該多孔低k層表面接觸及以電漿處理的製程步驟係重複至形成期望厚度的孔密封層為止。結果,使該下方多孔低k層的開孔被密封起來。
在本文和整個說明書所述的式中,該措辭“烷基”表示分別地具有1至10或3至10個碳原子的線性或分支官能基。示範線性烷基包括,但不限於,甲基(Me)、乙基(Et)、丙基(n-Pr)、丁基(n-Bu)、戊基及己基。示範分支烷基包括,但不限於,異丙基(iso-Pr或iPr)、異丁基(iBu)、第二丁基(sBu)、第三丁基(tBu)、異戊基、第三戊基(amyl)、異己基及新己基。在某些具體實施例中,該烷基可被接附於彼的一或更多官能基取代,例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有接附於彼的一或更多官能基或雜原子。
在本文和整個說明書所述的式中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在本文和整個說明書所述的式中,該措辭“芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在本文和整個說明書所述的式中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至10或3至6或3至4個碳原子的基團。
在本文和整個說明書所述的式中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至10或3至6或3至4個碳原子的基團。
在本文和整個說明書所述的式中,該措辭“烷氧基”表示藉由移除質子而衍生自醇的基團。示範烷氧基包括,但不限於,甲氧基、乙氧基、異丙氧基、正丙氧基、第三丁氧基、第二丁氧基、異丁氧基。
在本文和整個說明書所述的式中,該措辭“羧基”表示經由移除質子而衍生自羧酸的基團。示範羧基包括,但不限於,乙醯氧基(MeCOO)。
在本文和整個說明書所述的式中,該措辭“伸烷基橋”表示衍生自具有1至10個碳原子,較佳為1至4個碳原子的烷基的雙基團。示範伸烷基橋包括,但不限於,-CH2- (亞甲基)、-CH2CH2-(伸乙基)、-CH(Me)CH2-(伸異丙基)、-CH2CH2CH2-(伸丙基)。
在本文和整個說明書所述的式中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。在上式和整個說明書中,本文使用的措辭“不飽和”意指該官能基、取代基、環或橋具有一或更多碳雙鍵或叁鍵。不飽和環的實施例可能是,但不限於,芳香族環例如苯環。該措辭“飽和”意指該官能基、取代基、環或橋沒有一或更多碳雙鍵或叁鍵。
在某些具體實施例中,該烷基、烯基、炔基、環狀基團及/或芳基中的一或多者可被取代或具有一或更多原子或原子基團例如,舉例來說,氫原子被取代的官能基。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。其他示範取代基,該烷基可具有接附於彼的一或更多官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,本文所述的式中的烷基、烯基、炔基、環狀基團及/或芳基中的一或多者沒有接附於彼的一或更多官能基。
在上述方法中,儘管不受理論限制,但是咸相信對比於金屬例如銅、鈷或其合金該孔密封層選擇性地沉積於該多孔低介電層的至少一部分上,因為其分子由於與-OH反應而錨定於該膜表面,該-OH在還原性氣氛中並不存在於該金屬表面。因此,該金屬表面上無法發生沉積作用,造成關 於該多孔低介電層的良好選擇性。為求該孔密封層於該多孔低k膜相較於該金屬例如銅上沉積的選擇性,較佳為該孔密封膜於該多孔低k膜相較於金屬的沉積速率分布於下列終點中的一或多者:更大約2倍,更大約3倍,更大約4倍,更大約5倍,更大約6倍,更大約7倍,更大約8倍,更大約9倍及更大約10倍。示範範圍包括,但不限於下列者:更大約8至約10倍,或更大約5至約8倍,或更大約2至約5倍。在各個不同具體實施例中,該多孔低介電層另外包含金屬而且其中該孔密封層於該多孔低介電膜上的第一沉積速率比該孔密封層於該層的金屬部分上的第二沉積速率更大2倍至更大10倍。
預期該等開孔經過約10至30周期本文所述的方法之後將會被密封起來。咸能明白結果沉積於該低k介電膜上的孔密封層相當薄,或具有約5奈米(nm)或更小,4nm或更小,3nm或更小,2nm或更小或1nm或更小或0.5nm或更小的厚度。
該孔密封層可能需要最小介電常數偏移以對於以該下方多孔低k介電層為基礎的裝置的電氣效能之影響最小化。關於介電常數k的變化(亦即在被施以孔密封層或密封介電質前後的多孔低k膜介電常數之間的差數)係為0.5或更小、0.4或更小、0.3或更小、0.2或更小、0.1或更小。在某些具體實施例中,該多孔低介電層具有第一介電常數而且該密封低介電常數層具有第二介電常數而且該第一介電常數與該第二介電常數之間的差數係為0.5或更小、0.4或更小、0.3 或更小、0.2或更小、0.1或更小或0.05或更小。
在此將該類ALD製程定義成循環式CVD製程,其提供高保形性孔密封層於該多孔低k介電膜的至少一部分上。該孔密封層可能包含含矽膜例如不定形矽、氧化矽、摻碳氧化矽、碳氮化矽、氮化矽。在某些具體實施例中,該孔密封層具有5%或更小的不均勻度百分比、每周期1Å或更大的沉積速率或該二者。
本文所述的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗掉的反應物及/或反應產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,將洗淨氣體例如Ar以介於約10至約2000sccm的流速供入該反應器經過約0.1至1000秒,藉以洗淨可能留在該反應器中的未反應的材料及任何產物。
對該有機矽化合物中的至少一者施加能量以引發反應並且將該孔密封膜或塗層形成於該基材上。此能量能藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合來提供。在某些具體實施例中,能使用二次RF來源來改變該基材表面處的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生製程可包含在該反應器中直接產生電漿的直接電漿產生製程或選擇性地在該反應器外側產生電漿並且供入該反應器的遠距電漿產生製程。
該等有機矽化合物前驅物及/或其他含矽前驅物可以各種不同方式運送至該反應器。在一具體實施例中,可利用液體運送系統。在一可供選用的具體實施例中,可運用聯合液體運送和閃蒸製程單元,例如,舉例來說,明尼蘇達州,休爾瓦的MSP股份有限公司所製造的渦輪汽化器,使低揮發性材料能夠以容積測流方式輸送,導致可再現的輸送及沉積而不會使該前驅物熱分解。在液體運送配方中,本文所述的前驅物可以純液體形式輸送,或者,可以溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以將膜形成於基材上。
在某些具體實施例中,本文描述的方法係在PECVD/PEALD的平台之上利用循環式製程來進行。該矽晶圓承載器係保持於介於約100至約400℃或約200至約300℃的一或更多溫度。該液態有機矽化合物係在艙節流閥關閉的情形中在真空之下於50至5000mg/min(較佳地200至300mg/min)的速率下運送至該反應器中。等到該化合物液流關掉之後,使該晶圓能與該化合物接觸或“浸漬”於處於1至8托耳(較佳地2至4托耳)壓力的前驅物蒸氣中。該節流閥後來隨著惰性氣體洗淨打開經歷介於約10至約300秒或約30至約50秒的時間。接著,該晶圓係以UV、包含反應物氣體例如N2、He、Ar、H2的電漿、包含該反應器中的惰性氣體(He、Ar)的電漿來處理以使該被吸附的有機矽前驅物活化並且反應,同時使該成長膜的表面準備與下一脈衝發生反應或與該 有機矽化合物接觸。在該處理步驟中的電漿功率介於50至3000W,較佳地200至300W,配合10至60秒(sec.),較佳地15秒的電漿暴露時間。此事件的連續發生完成了一製程周期,重複進行此製程周期10至30次以提供該孔密封層。
在一具體實施例中,提供一種形成孔密封層之方法,該孔密封層係藉由電漿強化原子層沉積製程(PEALD)、電漿強化循環式化學氣相沉積(PECCVD)或電漿強化類ALD製程形成,該方法包含以下步驟:a.將具有多孔低介電層的基材提供於反應器中;b.使該基材與至少一有機矽化合物接觸以將被吸收的有機矽化合物提供於該多孔低介電層的至少一部分表面上,該至少一有機矽化合物係選自由具有下列式A至G的化合物所組成的群組: 其中R2和R3係各自獨立地選自由氫原子、C1至C10線性烷 基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基所組成的群組;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;R5係線性或分支C1-3伸烷基橋;而且R7係選自與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團,而且其中m=0、1或2而且n=0、1或2;c.以洗淨氣體洗淨該反應器;d.將電漿引進該反應器以與被吸收的有機矽化合物反應,及e.以洗淨氣體洗淨該反應器;其中步驟b至e係重複至期望厚度的孔密封層形成於該表面上為止。
在又另一態樣中,提供一種藉由電漿強化原子層沉積製程(PEALD)、電漿強化循環式化學氣相沉積(PECCVD)或電漿強化類ALD製程形成孔密封層之方法,該方法包含以下步驟:a.將具有多孔低介電層的基材提供於反應器中;b.使該基材與至少一有機矽化合物接觸以將被吸收的有機矽化合物提供於該多孔低介電層的至少一部分表面上,該至少一有機矽化合物係選自由具有下列式A至G的化合物所組成的群組: 其中R2和R3係各自獨立地選自由氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C5至C12芳基、C2至C10線性或分支烯基及C2至C10線性或分支炔基所組成的群組;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;R5係線性或分支C1-3伸烷基橋;而且R7係選自與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團,而且其中m=0、1或2而且n=0、1或2;c.以洗淨氣體洗淨該反應器;d.將電漿引進該反應器以與被吸收的有機矽化合物反應,及e.以洗淨氣體洗淨該反應器; f.將至少一具有式A至G的有機矽化合物引進該反應器,其中該至少一有機矽化合物與該方法步驟b)中的至少一有機矽不同;g.以洗淨氣體洗淨該反應器;h.將電漿引進該反應器以與被吸收的有機矽化合物反應;i.以洗淨氣體洗淨該反應器,其中步驟b至i係重複至獲得期望厚度的膜為止。在一些具體實施例中,在步驟f之前重複進行步驟b至e幾個周期。在一特定具體實施例中,步驟b中使用具有Si-H鍵的有機矽化合物例如二乙氧基甲基矽烷使氧化銅能還原成銅金屬,藉以促進該孔密封層選擇性沉積於該多孔低k介電層表面上。
實施例 通用孔密封層沉積實驗和結果
用於沉積不同類型孔密封層及不同沉積移除的種種實驗係於表面上沉積著介電常數為2.2的多孔二乙氧基甲基矽烷膜層的200毫米(mm)晶圓上進行,該多孔二乙氧基甲基矽烷膜層係按美國專利公開案第2007/0299239號所述由結構形成劑二乙氧基甲基矽烷(DEMS)前驅物和致孔劑前驅物環辛烷沉積並且以紫外線(UV)固化。
用於沉積該孔密封層的所有方法皆於裝配著Astron EX遠距電漿產物器的200mm DXZ艙中,靠Applied Materials Precision 5000系統,利用矽烷或TEOS製程套組進行。該電漿強化化學氣相沉積(PECVD)艙有直接液體注射(DLI) 運送能力。前驅物於該等運送溫度下而且依據該前驅物的沸點而為液體。以短NH3電漿損壞低-k晶圓以提供“受損多孔低k介電膜”,該短NH3電漿從細孔表面移除一部分Si-Me基團至50nm的深度以模仿蝕刻和灰化造成的積體損壞。具有受損多孔低k介電膜的晶圓係以孔密封層密封起來,該孔密封層係利用電漿強化原子層沉積(PEALD)製程靠該PECVD設備來沉積。
於632nm的厚度和折射率(RI)係藉由反射計(SCI-2000)和橢圓儀(J.A.Woollam M2000UI)來測量。測定該孔密封層是否有好結果之一試驗方法係橢圓偏光測孔法(EP)試驗。該EP監測晶圓顏色變化及甲苯蒸氣擴散至未密封細孔中引起的橢圓光譜偏移(ellipsometric spectra shift)。該孔密封層的厚度係藉由X-射線反射率(XRR)、X-射線光電子光譜術(XPS)繪製剖面圖及穿透式電子顯微術(TEM)來分析。氮化鉭(TaN)或氧化鉭(Ta2O5)的層係分別地利用ALD及前驅物伍(二甲基胺基)鉭及NH3或H2O沉積於該晶圓上。TaN或Ta2O5的厚度係藉由X-射線螢光(XRF)來測量。銅選擇性係藉由將該孔密封層重複沉積於裸銅(Cu)晶圓上並且利用能量色散X射線光譜術(EDX)和XPS來測量該孔密封層的厚度並且接著比較個別的厚度(例如,沉積於該受損多孔低k介電膜上的孔密封層厚度對比於沉積於該裸銅晶圓上的孔密封層厚度)而執行。
在這些實驗中,用於形成該孔密封層的不同有機矽前驅物係於下列條件之下測試。初始介電常數為2.2的PDEMS膜係於300℃下利用300W NH3電漿損壞15秒以提 供能用於下列實施例中的受損多孔低k膜。使有機矽前驅物化合物於每分鐘300毫克(mg/min)的速率下,關閉節流閥,於介於約200至約300℃的一或更多溫度下流入該反應器經過1分鐘(min)。使該等晶圓接觸或浸漬於該前驅物蒸氣中經過2min並且接著以氦洗淨該艙經過2min。接下來,使該樣品於200瓦(W)的電漿設計下暴露於氦(He)電漿經過15秒(sec)。接著重複該等製程步驟大約10至大約30個周期。
實施例1:利用具有式A的有機矽化合物三甲氧基甲基矽烷來形成孔密封層
在本實施例中,申請人藉著在製程中使用不含氮的前驅物或氣體使該孔密封層的介電常數維持於相對低值。申請人也排除使用不被允許的氧或其他氧化劑以防止銅表面氧化。使該受損多孔低k膜與該有機矽化合物三甲氧基甲基矽烷(C4H12O3Si)接觸並且以氦電漿來處理。在各周期中,等到該有機矽前驅物化合物流入該反應器,使該受損多孔低k介電膜表面能被浸潤,並且接著洗淨之後以200瓦氦電漿打擊15秒。重複該製程大約10至30次以提供該孔密封層。該孔密封層被認為有效,因為按照經過30周期處理之後沒觀察到顏色變化或橢圓光譜偏移所證實的,沒有甲苯擴散至該受損多孔低k膜中。接下來,於其後利用10周期的處理將Ta2O5層沉積於已經有孔密封層沉積在上面的晶圓上。等到沉積了該含Ta層之後,按照X-射線螢光(XRF)測試的,其指出沒有Ta擴散至該等細孔中。因此,等到與三甲氧基甲基矽烷接觸 及以He電漿處理經過10周期之後,該等受損細孔便藉由形成孔密封層被密封起來。
為了確認該孔密封層的沉積速率,進行該孔密封製程經過60周期。該孔密封層的膜厚度係為約5.8奈米(nm),其指出該沉積速率小於每周期1埃。該孔密封層的介電常數係為約3.2至約3.4,等到該孔密封之後將不會使k顯著提高。
使用三甲氧基甲基矽烷單獨沉積該孔密封層係如上述於銅基材上進行。這些沉積顯示在銅上某種程度的選擇性:利用在裸銅上的10周期處理,藉由XPS繪製剖面圖發現小於3埃厚的SiO2孔密封層。因此,當與沉積於該受損多孔低k介電膜上的孔密封層相比時證實為3:1的銅上選擇性。
同樣在圖案化OSG低-k膜上進行10周期的孔密封層沉積(例如,暴露於前驅物,洗淨,並且接著暴露於電漿),後面接著ALD Ta2O5沉積。圖1a和1b提供顯示該基材側壁的TEM影像,其中1係碳層,2係該Ta2O5層,而且3係該多孔低介電層。介於物件2與3之間的孔密封層太薄而無法於該TEM影像上顯示出來。圖1a和1b顯示良好的孔密封效果而不會使Ta擴散至該下方低k介電膜中。該Ta2O5層與該低-k介電層之間顯示一清楚的界面,如圖1(a)和(b)所示。圖2b和2c提供由圖2a所示的側壁上的不同區域獲得的EDX,其確認該多孔低介電層3中偵測不到Ta。
實施例2:利用二異丙基二甲氧基矽烷(式A)進行孔密封
孔密封層係利用上述有機矽化合物二異丙基二 甲氧基矽烷(C8H20OSi)來沉積而且據發現其適用於密封該等細孔,與未受損低k膜相比不會使該介電常數遽然提高。藉著達於30周期的處理,該低k膜的介電常數僅從2.2的起始值提高至2.29的處理後的值(或+0.09的變化)。也發現到此有機矽化合物能提供在Cu基材上相對良好的選擇性:利用20周期的處理,在低k膜上的孔密封層厚度係為約20埃,然而在該銅表面上的孔密封層厚度係為小於3.4埃,其顯示大約6:1的選擇性。
實施例3:利用二甲基二乙醯氧基矽烷(式C)進行孔密封
孔密封層係利用上述二甲基二乙醯氧基矽烷(C6H12O4Si)來沉積。該受損多孔低k膜藉著與該有機矽化合物接觸而且接著He電漿處理經過10周期便完全被密封起來。該膜沉積速率係為約1.2埃/周期,其指出該等細孔能藉著厚度為約1.2奈米(nm)的孔密封層密封起來。另一方面,該罩蓋層的介電常數係小於4,其也有可能減小該k偏移。Ta2O5沉積及XRF分析指出該等細孔被密封起來而沒有Ta擴散至該等細孔中。
實施例4:利用1-甲基-1-乙氧基-1-矽雜環戊烷(式E)來進行孔密封
具有式C7H16OSi的有機矽前驅物1-甲基-1-乙氧基-1-矽雜環戊烷係按照上述測試。該NH3損壞膜能藉著He或Ar電漿處理經過10周期便完全被密封起來。Ta2O5沉積及 XRF分析指出該等細孔被密封起來而沒有Ta擴散至該等細孔中。動態SIMS數據也顯示界面處戲劇性的Ta濃度滑落,其指出經由10周期之本文所述的方法而得到良好的孔密封效果。
實施例5:利用1,2-雙(三甲氧基矽烷基)乙烷(式F)來進行孔密封
被具有式C8H22O6Si2的有機矽化合物1,2-雙(三甲氧基矽烷基)乙烷[(CH3O)3Si-(CH2)2-Si(OCH3)3]接觸的上述受損多孔低k介電膜係利用上述EP試驗來測試並且通過該EP試驗而沒有甲苯擴散。沒觀察到顏色變化;沒發生橢圓儀偏移。XRF分析也指出經過1,2-雙(三甲氧基矽烷基)乙烷處理10周期之後沒有Ta擴散至該等細孔中。
前述說明主要為了達到舉例說明的目的。儘管本發明已經就其示範具體實施例出示並且加以描述,但是熟悉此技藝者理應了解其形式和細節的前述和不同其他變化、省略及追加皆可被完成而不會悖離本發明的精神和範疇。
1‧‧‧碳層
2‧‧‧Ta2O5
3‧‧‧多孔低介電層

Claims (23)

  1. 一種用於形成孔密封層之方法,該方法包含以下步驟:a.將具有多孔低介電層的基材提供於反應器中;b.使該基材與至少一有機矽化合物接觸以於該多孔低介電層的至少一部分表面上提供被吸收的有機矽化合物,該至少一有機矽化合物係選自由具有下列式A、B、D及G的化合物所組成的群組: 其中R2和R3係各自獨立地選自由氫原子、C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C6至C12芳基、C2至C10線性支烯基或C3至C10分支烯基、及C2至C10線性炔基或C3至C10分支炔基所組成的群組;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C6-C12芳基;R5係線性C1-3伸烷基橋或分支C3伸烷基橋;而且R7係選自 與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團,而且其中m=0、1或2而且n=0、1或2;c.以洗淨氣體洗淨該反應器;d.將電漿引進該反應器以與被吸收的有機矽化合物反應,及e.以洗淨氣體洗淨該反應器;其中步驟b至e係重複至期望厚度的孔密封層形成於該表面上為止並且提供一密封低介電常數層。
  2. 如申請專利範圍第1項之方法,其中該至少一有機矽化合物包含具有式A的化合物而且係選自由三甲氧基甲基矽烷、二甲氧基二甲基矽烷、三乙氧基甲基矽烷、二乙氧基二甲基矽烷、三甲氧基矽烷、二甲氧基甲基矽烷、二乙氧基甲基矽烷、二甲氧基乙烯基甲基矽烷、二甲氧基二乙烯基矽烷、二乙氧基乙烯基甲基矽烷及二乙氧基二乙烯基矽烷所組成的群組。
  3. 如申請專利範圍第1項之方法,其中該至少一有機矽化合物包含具有式B的化合物而且係選自由1,1,3,3-四甲氧基-1,3-二甲基二矽氧烷、1,1,3,3-四乙氧基-1,3-二甲基二矽氧烷、1,3-二甲氧基-1,1,3,3-四甲基二矽氧烷及1,3-二乙氧基-1,1,3,3-四甲基二矽氧烷所組成的群組。
  4. 如申請專利範圍第1項之方法,其中該至少一有機矽化合 物包含具有式D的化合物而且係選自由1,1,3,3-四乙醯氧基-1,3-二甲基二矽氧烷及1,3-四乙醯氧基-1,1,3,3-四甲基二矽氧烷所組成的群組。
  5. 如申請專利範圍第1項之方法,其中該孔密封層的厚度係為約5奈米或更小。
  6. 如申請專利範圍第1項之方法,其中該孔密封層的厚度係為約3奈米或更小。
  7. 如申請專利範圍第1項之方法,其中該孔密封層的厚度係為約1奈米或更小。
  8. 如申請專利範圍第1項之方法,其中該多孔低介電層具有第一介電常數而且該密封低介電常數層具有第二介電常數而且該第一介電常數與該第二介電常數之間的差數係為0.5或更小。
  9. 如申請專利範圍第8項之方法,其中該差數係為0.4或更小。
  10. 如申請專利範圍第8項之方法,其中該差數係為0.2或更小。
  11. 如申請專利範圍第1項之方法,其中該多孔低介電層另外包含金屬,而且其中該孔密封層於該多孔低介電層上的第一沉積速率為該孔密封層於該金屬上的第二沉積速率的2倍大至10倍大。
  12. 一種形成孔密封層之方法,該孔密封層係藉由電漿強化原子層沉積製程(PEALD)、或電漿強化循環式化學氣相沉積(PECCVD)製程形成,該方法包含以下步驟:a.將具有多孔低介電層的基材提供於反應器中;b.使該基材與至少一有機矽化合物接觸以於該多孔低介電層的至少一部分表面上提供被吸收的有機矽化合物,該至少一有機矽化合物係選自由具有下列式A、B、D及G的化合物所組成的群組: 其中R2和R3係各自獨立地選自由氫原子、C1至C10線性烷 基、C3至C10分支烷基、C3至C10環狀烷基、C6至C12芳基、C2至C10線性或C3至C10分支烯基、及C2至C10線性或C3至C10分支炔基所組成的群組;R4係選自C1至C10線性烷基、C3至C10分支烷基、C3至C10環狀烷基、C3至C10線性或分支烯基、C3至C10線性或分支炔基及C5-C12芳基;R5係線性C1-3或分支C3伸烷基橋;而且R7係選自與該Si原子形成四員、五員或六員環狀環的C2至C10烷基雙基團,而且其中m=0、1或2而且n=0、1或2;c.以洗淨氣體洗淨該反應器;d.將電漿引進該反應器以與被吸收的有機矽化合物反應,及e.以洗淨氣體洗淨該反應器;f.將選自由具有式A、B、D及G的化合物所組成的群組的至少一有機矽化合物引進該反應器,其中該步驟f)中的至少一有機矽化合物與該步驟b)中的至少一有機矽化合物不同;g.以洗淨氣體洗淨該反應器;h.將電漿引進該反應器以與被吸收的有機矽化合物反應;i.以洗淨氣體洗淨該反應器,其中步驟b至i係重複至獲得期望厚度的膜為止。
  13. 如申請專利範圍第12項之方法,其中步驟b至e係在步驟f之前重複一定次數的周期。
  14. 一種位於一基材上的密封低介電常數層,包含一位於該基材上的多孔低介電層及被形成於該多孔低介電層上的一孔密封層,其中該多孔低介電層具有第一介電常數而且該密封低介電常數層具有第二介電常數而且該第一介電常數與該第二介電常數之間的差數係為0.5或更小。
  15. 如申請專利範圍第14項之密封低介電常數層,其中該孔密封層的厚度係為約5奈米或更小。
  16. 如申請專利範圍第15項之密封低介電常數層,其中該孔密封層的厚度係為約3奈米或更小。
  17. 如申請專利範圍第16項之密封低介電常數層,其中該孔密封層的厚度係為約1奈米或更小。
  18. 如申請專利範圍第14項之密封低介電常數層,其中該差數係為0.4或更小。
  19. 如申請專利範圍第18項之密封低介電常數層,其中該差數係為0.2或更小。
  20. 如申請專利範圍第14項之密封低介電常數層,其中該多孔低介電層另外包含金屬,而且其中該孔密封層於該多孔低介電層上的第一沉積速率為該孔密封層於該金屬上的第二沉積 速率的2倍大至10倍大。
  21. 如申請專利範圍第14項之密封低介電常數層,其中該多孔低介電常數層具有一介電常數係為0.3或更小。
  22. 如申請專利範圍第14項之密封低介電常數層,其中該多孔低介電常數層包含一有機矽酸鹽層。
  23. 如申請專利範圍第14項之密封低介電常數層,其中該孔密封層係依申請專利範圍第1項之方法被形成。
TW106109400A 2014-08-14 2015-08-12 於多孔低介電常數膜上提供孔密封層的方法和組合物 TWI634229B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462037392P 2014-08-14 2014-08-14
US62/037,392 2014-08-14
US14/820,982 US20160049293A1 (en) 2014-08-14 2015-08-07 Method and composition for providing pore sealing layer on porous low dielectric constant films
US14/820,982 2015-08-07

Publications (2)

Publication Number Publication Date
TW201726966A true TW201726966A (zh) 2017-08-01
TWI634229B TWI634229B (zh) 2018-09-01

Family

ID=53836013

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106109400A TWI634229B (zh) 2014-08-14 2015-08-12 於多孔低介電常數膜上提供孔密封層的方法和組合物
TW104126300A TWI598456B (zh) 2014-08-14 2015-08-12 於多孔低介電常數膜上提供孔密封層的方法和組合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104126300A TWI598456B (zh) 2014-08-14 2015-08-12 於多孔低介電常數膜上提供孔密封層的方法和組合物

Country Status (7)

Country Link
US (2) US20160049293A1 (zh)
EP (1) EP2993687B1 (zh)
JP (2) JP6298023B2 (zh)
KR (2) KR101741159B1 (zh)
CN (1) CN105401131B (zh)
SG (1) SG10201506348YA (zh)
TW (2) TWI634229B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
JP6499001B2 (ja) * 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
KR101868483B1 (ko) 2016-10-13 2018-07-23 경북대학교 산학협력단 영상 대조에 따른 두개의 에지 블러 파라미터 예측 방법
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
JP6663400B2 (ja) * 2017-09-11 2020-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
JP7274578B2 (ja) * 2018-11-27 2023-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜
US20210017198A1 (en) * 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
CN114556527A (zh) * 2019-09-13 2022-05-27 弗萨姆材料美国有限责任公司 单烷氧基硅烷和二烷氧基硅烷以及由其制备的致密有机二氧化硅膜
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer
WO2022066508A1 (en) * 2020-09-22 2022-03-31 Versum Materials Us, Llc Additives to enhance the properties of dielectric films
CN116490640A (zh) * 2020-10-20 2023-07-25 弗萨姆材料美国有限责任公司 烷氧基二硅氧烷和由其制备的致密有机硅膜

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3496862B2 (ja) * 1997-02-17 2004-02-16 北辰工業株式会社 新規ケイ素含有高分子化合物およびその調製法
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7135408B2 (en) * 2002-10-30 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
US7718544B2 (en) * 2005-06-30 2010-05-18 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low diffusion coefficient
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP5181512B2 (ja) * 2007-03-30 2013-04-10 富士通セミコンダクター株式会社 電子デバイスの製造方法
WO2009102363A2 (en) * 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR101542636B1 (ko) * 2007-12-19 2015-08-06 램 리써치 코포레이션 나노다공성 로우-k 유전체 재료 처리 방법
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
CN102054757B (zh) * 2009-11-10 2013-09-11 中芯国际集成电路制造(上海)有限公司 集成电路铜互连结构的制作方法
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
JP5566334B2 (ja) * 2010-12-28 2014-08-06 麒麟麦酒株式会社 ガスバリア性プラスチック成形体及びその製造方法
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics

Also Published As

Publication number Publication date
TWI634229B (zh) 2018-09-01
US20180277360A1 (en) 2018-09-27
TW201623667A (zh) 2016-07-01
JP2018064119A (ja) 2018-04-19
KR101741159B1 (ko) 2017-05-29
US20160049293A1 (en) 2016-02-18
TWI598456B (zh) 2017-09-11
EP2993687B1 (en) 2020-02-05
KR102376352B1 (ko) 2022-03-17
JP2016042576A (ja) 2016-03-31
CN105401131B (zh) 2018-10-19
KR20180037096A (ko) 2018-04-11
KR20160021722A (ko) 2016-02-26
SG10201506348YA (en) 2016-03-30
EP2993687A1 (en) 2016-03-09
JP6298023B2 (ja) 2018-03-20
CN105401131A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
TWI598456B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
US11996284B2 (en) Formation of SiOCN thin films
JP6950012B2 (ja) SiOCN薄膜の形成
US11562900B2 (en) Formation of SiOC thin films
US20070287301A1 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
TWI688673B (zh) 於一基材表面選擇性沈積一膜的方法、製備該表面的方法及選擇性鈍化該表面的方法
JP2024063001A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
WO2023114214A1 (en) Spin coatable metal-containing compositions and methods of using the same
JP2023143793A (ja) 基板処理方法及びこれを用いた選択的蒸着方法