TW546768B - Method of depositing organosilicate layers - Google Patents

Method of depositing organosilicate layers Download PDF

Info

Publication number
TW546768B
TW546768B TW090125338A TW90125338A TW546768B TW 546768 B TW546768 B TW 546768B TW 090125338 A TW090125338 A TW 090125338A TW 90125338 A TW90125338 A TW 90125338A TW 546768 B TW546768 B TW 546768B
Authority
TW
Taiwan
Prior art keywords
patent application
item
scope
page
substrate
Prior art date
Application number
TW090125338A
Other languages
English (en)
Inventor
Frederic Gaillard
Li-Qun Xia
Ellie Yieh
Paul Fisher
Srinivas D Nemani
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW546768B publication Critical patent/TW546768B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Description

A7
546768 五、發明説明() 發明領直: 本發明係關於有機矽酸鹽層(organos出cate Layerp 及其於積體電路製造中的使用,以及形成有機矽酸鹽層之 方法。 發明背景__ · 積體電路已發展出複雜的元件,包括許許多多的零件 (例如電晶體、電容器以及電阻器)在單晶片上。晶片設計 的演進一直需要更快速的電路與更大的積集度。對於更大 的積集度的需求,就需要減少積體電路之電路零件的尺 寸。 當積體電路之電路零件的尺寸減少時(例如次微米 [Sub-Miciron}尺寸),用來製造這種零件的材料就取決於電 性表現。舉例來說,低電阻率之金屬内連線(例如銅與鋁) 在積體電路上各零件之間提供導電途徑。一般來說,金屬 内連線係藉由絕緣材料提供彼此之間的電性隔離。當相鄰 的金屬内連線之間的距離及/或絕緣層的厚度只有次微米 的尺寸,在這樣的内連線之間就可能會有電容耦合 (Capacitive Coupling)的情形發生。在相鄰的金屬内連線之 間的電容隸合會造成訊號相互干擾(Cross Talk)及/或電阻 -電容(Resistance-Capacitance ; RC)時間延遲,這會使積體 電路整體表現下降。為了避免相鄰的金屬内連線之間的電 容耦合,需要低介電常數(Low k)絕緣材料(例如介電常數 低於約4.5)。 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) {請先閲讀背面之注意事項再填寫本頁} 裝· -訂· 經濟部智慧財產局員工消費合作社印製 五、發明説明() 因此,在這個技術中需 使用的低介電常數材料。美供適合於積體電路製造中
不發明之一目的係 成有機导酸鹽層的方種用於積體電路製造中形 場於混合氣r… —個實施例中’係藉由施加電 化合物與含氧氣體,成包有括:機…(〇rgan°silane) 私成有機矽酸鹽層。 有機硬酸鹽層適用 製造過程中,有播。 “路製造過程。在積體電路 實施,、 馱鹽層作為内金屬介電層。在這樣的 * 較佳的製程順序至少包括沉積有機矽酸鹽層覆 |已形成於基材之導體特徵上。 :另一種積體電路製造過程中,係將有機矽酸鹽層加 敗、。構中。在廷樣的實施例中,較佳的製程順序至少 ^括沉積第-介電層在基材上。然後形成有機硬酸鹽層在 弟-介電層上。接著,進行圖案化及蝕刻步騾,藉以在有 機矽酸鹽層上定義出接觸窗/介層窗。'在有機矽酸鹽層經過 圖案化及I虫刻後,沉#第二介電層在有機兮酸鹽層上。進 行圖案化及蝕刻步驟,藉以在第二介電層上定義出内連線 開口。在第二介電層上定義的内連線開口係位於有機矽酸 鹽層所形成之接觸窗/介層窗上。内連線開口形成之後,對 已定義在有機矽酸鹽層之接觸窗/介層窗進行蝕刻,並穿過 第一介電層至基材表面。之後,以導體材料填充内連線開 口與接觸窗/介層窗,即完成鑲嵌結構。 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 546768 A7 B7 五、發明説明( 圖尤簡箪說明· ’ 本發明之内容,將於往後之說明文字中輔以下列實施 例之圖形做更詳細的闡述。其中: 第1圖所緣示為本發明之實施例之設備概要圖解; 第 2 圖所繪示為化學氣相沉積(Chemical Vapor Deposition; CVD)室之概要剖面圖; 第3a圖至第3b圖所繪示為基材於積體電路製造的不同階 段中之概要剖面圖,其中包含利用有機矽酸鹽層作 為内金屬介電層;以及 第4a圖至第4e圖所繪示為鑲嵌結構於積體電路製造的不 同階段中之概要剖面圖,其中包含利用有機矽酸鹽 層於此鑲嵌結構中。 圖號齎照說明= 35 晶圓處理系統 36 製程室或CVD製程室 38 製程室 40 製程室 41 製程室 46 負載室 50 傳遞室 51 傳遞用機械手臂 54 微處理控制器 102 真空泵 106 AC電源 110 控制單元 120 噴氣頭 130 氣體控制面板 150 晶雇 170 電熱元件 172 溫度感測器 190 晶圓 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 546768 A7 ----- B7 經濟部智慧財產局員工消費合作社印製 發明説明() 200 基材 204 導體特徵 206 有機矽酸鹽層 250 基材結構 300 基材 301 導體特徵 302 第一介電層 3 03 内金屬介電質 304 有機矽酸鹽層 305 阻障層 306 接觸窗/介層窗 308 第二介電層 310 内連線開口 3 12 阻障層 314 導體材料 (請先閲讀背面之注意事項再填寫本頁) 發明詳細哥. 依據本發明所描述之實施例,第1圖所繪示為用於積 體電路製造中的晶圓處理系統3 5之概要圖解。這個晶圓 處理系統3 5至少包括製程室3 6、製程室3 8、製程室40、 製程室41、負載室46、傳遞室50、微處理控制器54、以 及其他硬體零件例如電力供應(圖未繪示)與真空泵(圖未 繪示)。像晶圓處理系統 35的一個例子係如由加州 (California)聖塔克拉拉(Santa Clara)之應用材料公司 (Applied Material,Inc·)所製造之 CENTURA® 系統。 晶圓處理系統3 5的細節敘述於1 993年2月16日公 告之美國專利案編號第5,186,718號標題為、、階段式真空 基材處理系統及方法〃,在此處並列為參考文獻。晶圓處 理系統3 5顯著之特徵係詳述於後。 晶圓處理系統35包括傳遞室50,其中傳遞室50含有 傳遞用機械手臂51。傳遞室50不但與負載室46連接,也 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) 546768 五 _ 經濟部智¾財產局員工消費合作社印1 A7 B7 發明説明() 與製程室36、製程室38、製程室40以及製程室41相連。 基材(圖未繪示)穿過負載室46而載入晶圓處理系統 35中。之後,傳遞用機械手臂51將基材在製程室36、製 程室3 8、製程室40以及製程室41中或之間移動。 製程室3 6、製程室3 8、製程室40以及製程室41係 用於進行各種積體電路製造順序。舉例來說,製程室3 6、 製程室38、製程室40以及製程室41可以包括物理氣相沉 積(Physical Vapor Deposition ; PVD)室、離子化金屬電漿 物理氣相沉積(Ionized Metal Plasma PVD ; IMP PVD)室、 化學氣相沉積(CVD)室、快速熱製程(Rapid Thermal Process ; RTP)室、以及抗反射塗佈(Anti-Reflection Coating ; ARC)室等等。 第2圖所繪示為晶圓處理系統3 5之化學氣相沉積 (CVD)製程室之概要剖面圖。根據本發明所描述的實施 例,CVD製程室36可以用來沉積有機梦酸鹽層。CVD製 程室3 6係例如由加州聖塔克拉拉之應用材料公司所提供 之DXZTM1應室。 CVD製程室36 —般包括氣體控制面板13〇、控制單 元1 1 0、以及其他硬體零件例如電力供應與真空泵1 〇2。 CVD製程室36的細節,在1998年12月14日申請之美國 專利申請案編號第09/211,998號標題為、高溫化學氣相沉 積室〃中有加以敘述’在此處列為參考文獻。CVD製程室 3 6顯著之特徵係簡述於後。 CVD製程室36通常覆蓋著晶座15〇,而晶座15〇則 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· ,νά 546768 A7 B7 五、發明説明() 用來支持基材係例如晶圓1 90。晶座1 50係利用位移機構 (圖未繪示)在CVD製程室36中以垂直方向移動。依據特 定的製程,在進行沉積各材料層前,晶圓1 90可加熱至某 預設溫度。例如晶座1 5 0可藉由埋置之電熱元件1 70進行 加熱。藉由從交流(A C)電源1 0 6施加電流於電熱元件 1 70,可以使晶座1 50利用電阻性質而加熱。接著晶圓1 90 藉由晶座1 5 0加熱。溫度感測器1 7 2係例如溫差電偶 (Thermocouple),常見的情形亦埋置於晶座150中,來監 控晶座1 5 0的溫度。回饋迴路利用所測得的溫度來控制供 應電熱元件1 70之電力,使晶圓1 90溫度得以維持或控制 在預設溫度,可於特定製程應用。晶座150藉由電漿或輻 射熱加熱(圖未繪示),則視情況而定。 真空泵102係用來排空CVD製程室36並維持CVD 製程室36内部適當的氣流與氣壓。製程氣體透過噴氣頭 120導入到CVD製程室36中,其中噴氣頭120係位於晶 座150之上方。喷氣頭120與氣體控制面板丨3〇連接,其 中氣體控制面板1 3 0控制及供應各種用於製程順序之不同 階段的氣體。 噴氣頭1 20與晶座1 50也形成一對在空間上分開的電 極。當在這些電極之間產生電場時,導入到CVD製程室 3 6中的製程氣體就被激發而產生電漿。一般來說,係透過 匹配網路(圖未緣示)將晶座150與射頻(Radio Frequency ; RF)電力(圖未繪示)連接,以產生電場。另一種方式,RF 電源與匹配網路可以與喷氣頭120結合,或是與喷氣頭 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 546768 A7 B7 -----------—^ 五、發明説明() 120及晶座150結合。 電衆加強型化學氣相沉積(Plasma Enhanced CVD ; PECVD)的技術,係藉由施加電場至靠近基材表面的反應 區,促使反應氣體的激發及/或分裂,以產生反應粒子的電 漿。電漿中粒子的反應力降低發生化學反應所需要的能 量,實際上就減少PECVD製程所需之溫度。 在至少一個實施例中,係藉由電漿加強氧化有機碎τ 烷化合物,例如三甲基矽甲烷(Trimethylsilane),來達到 有機矽酸鹽層之沉積。在氣體控制面板130的控制下,而 使氣體在調控的流量下,將有機矽甲烷化合物導入CVD 製程室3 6中。 利用質流控制器(圖未繪示)與電腦(圖未繪示)並透過 氣體控制面板1 3 0,可適當控制及調節氣流量。噴氣頭1 2 0 則讓從氣體控制面板1 3 0來的製程氣體,能均勻導入並分 佈在CVD製程室36中。 請參考第1圖,所繪示為由微處理控制器54所控制 之CVD製程室36。微處理控制器54可為任何一種型式通 用功能之電腦處理器(CPU),能用於控制不同反應室及次 處理器之工業設定。電腦可包括任何相容之記憶體,例如 隨機存取記憶體、唯讀記憶體、軟碟機、硬碟、或任何其 他數位式儲存、局部或遙控的型式。常見的情形為各種支 援電路係與CPU相連,以支援處理器。所需之軟體例行程 式可以儲存在記憶體中,或遙控第二個CPU來執行。 在基材放到晶座之後,可以執行軟體例行程式。在執 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公复) I 肅 — 雇 — — — — — I I _ 1 (請先閲讀背面之法意事項存填寫本貢) 丨訂· 經濟部智慧財產局員工消費合竹相 546768 546768 A7
五、發明説明( 行軟體例行程式時,使通用功能之電腦轉為特定製程之電 腦來控制反應室之操作,以執行反應室製程。另外,可Z 硬體執行本發明的製程,例如特定應用之積體電路、提供 j他型式之硬體、或者是軟體或硬體的結合。 有機矽酸鹽層之沉_ 在一實施例中,有機矽酸鹽層係藉由施加電場於混合 氣體,其中此混合氣體至少包括有機矽甲烷化合物與含氧 氣體。有機碎甲烷化合物之通用分子式為siaCbHc〇d,其 中a的範圍介於約1至約2,b的範圍介於約1至約1 〇 , c 的範圍介於約6至約30,d的範圍介於約〇至約6。 舉例來說’甲基矽甲燒(Methylsilane ; SiCH6)、二甲 基矽甲燒(Dimethylsilane ; SiC2H8)、三甲基硬甲燒 (Trimethylsilane ; S i C 3 Η i 〇)、四甲基梦甲燒 (Tetramethylsilane ; SiC4H12)、甲氧基矽甲烷 (Methoxysilane ; SiCH60)、二甲基二甲氧基矽甲烷 (Dimethyldimethoxysilane ; SiC4Hi2〇2)、二乙基二乙氧基 碎甲燒(Diethyldiethoxysilane ; SiC8H2〇〇2)、二甲基二乙 氧基梦曱燒(0111^1:]1>^1(1161:11〇乂)^11已1^;81(1;6只16〇2)、二乙基 二甲氧基碎甲淀(Diethyldimethoxysilane ; SiC6Hi6〇2)、六 甲基二碎氧燒(1^义&11161:1^1(^311〇父&1^;312€61^18〇)、二(甲 基碎甲燒基)甲虎(813[11^1:1171311&110]11^1:11狂11€;312匸31'112)、 1,2-二(甲基碎甲燒基)乙燒(1,2-1^3[11^1:1171311珏11〇]61:11&1^, Si2C4H14)等等,可用來作為有機矽甲烷化合物。 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .........r ··裝——U-訂.........# f請先閲讀背面之注意事項、再填寫本頁} 經濟部智慧財產局員工消費合作社印製 546768 Α7 Β7 五 經濟部智慧財產局員工消費合作社印製 、發明説明( 氧氣(〇2)、臭氧(〇3)、氧化亞氮(n20)、一氧化碳 (Co)、二氧化碳(C〇2)及其組合等,可用來作為含氧氣體。 氧化氣體可以藉由鈍氣,例如氦氣(He)及/或氬氣(Ar),來 加以稀釋。 一般來說,利用類似於第2圖中的CVD製程室形成 有機矽酸鹽層,可使用下列的沉積製程變數。製程變數係 包括晶圓溫度介於約50°C至約5001、反應室壓力介於約 1托爾(Torr)至約500 Τ〇ΓΓ、有機矽甲烷化合物之氣流速率 介於約50 seem至約1000 sccm、含氧氣體氣流速率介於 約10 seem至約1〇〇〇 seem、以及rf電力介於約每平方公 分1瓦特(watt/cm2)至約500 watts/cm2。在應用材料公司 提供之沉積室中,上述之製程變數係提供在約2〇〇毫米 (Millimetei: ; mm)厚之基材上’有機矽酸鹽層之沉積速率 ;丨於約母分鐘0.1微米(μπι/min.)至約2μιη/ιηίη·之間。 其他沉積室在本發明範圍之内,至於上述所列之變 數’可根據用來形成有機矽酸鹽層之特定沉積室而改變, 例如其他沉積室或是沉積室所需之氣流速率,會比本發明 列舉之應用材料公司所提供的沉積室更大或更小。 在有機矽酸鹽層形成後,視情況需要與否而進行回 火。氮氣(Ν2)、氫氣(Η2)、氧氣(Oh)及其組合等可作為回 火氣體。一般認為回火步騾可以將沉積之有機矽酸鹽層吸 收水氣的能力降低,以改善其介電性質。 大體而言,利用類似於第2圖中之製程室進行有機硬 酸鹽層之回火,可使用下列的製程變數。製程變數的範圍 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) " ' --- — 垂 — — — — — — — — I I — 曹 _~奮 II — I , 一-口’ — — — — — — — — (請先閲讀背面之注意事項再填寫本頁) A7
546768 五、發明説明() 如下:晶圓溫度介私的? η Λ。广式k 、 人;丨於約200 C至約50(TC、以及回火氣體 之氣流速率介於约1 〇〇〇 5 ,υϋϋ sccm 至约 10,000 seem。有機矽 酸鹽層的回火時間少於約3〇分鐘。 以這種方式^:積的有機錢鹽層的介電常數低於約 3.0’適合用在積體電路中作為絕緣材料之使用。有機梦酸 鹽層的介電常數為反應溫度的函數之_,是可以調整的, 其介電常數介於約2.0至約3.〇。特別當反應溫度增加時, 以這種方式沉積的有機梦酸鹽層的介電常數就會降低。 .在形成有機硬酸鹽層時,有機硬酸鹽層的介電常數也 可為混合氣體組成的函數之一,是可以調整的。當混合氣 體中碳的濃度增加時,以這種方式沉積的有機Μ鹽層中 的碳含量也增加,而使介電常數降低。 積體電路製造過彳t Α· 有機梦酸鹽之内金屬介電層 第3a圖至第3b圖係繪示基材2〇〇於積體電路製造順 序的不同階段中之概要剖面圖,丨中包括利用有機矽酸鹽 層作為内金屬介電層。概括說來,基材2〇〇係指任何在其 上方進行薄膜處理的工作部件。依據特定的處理階段,基 材200相當於矽晶圓,或已形成在基材2〇〇上之其他材料 層。舉例來說’以第3 a圖說明基材結構2 5 〇之剖面圖, 其中基材200為矽晶圓,而基材2〇〇上方形成有導體特徵 204。導體特徵204可由金屬層(例如銅、鋁、轉)所形成。 導體特徵204具有各種剖面幾何圖形,例如正方來、 矩形、以及圓形。導體特徵204的深寬比一般大於約丨:4。 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 經濟部智慧財產局員工消費合作社印製 546768 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 深寬比係定義為特徵的高度除以寬度。 第3b圖所繪示為根據上述之製程變數,在基材結構 250形成有機矽酸鹽層206。有機矽酸鹽層206之厚度隨 著特定處理階段變化❶一般有機矽酸鹽層206沉積的厚度 在約5〇〇 a至約i〇,〇〇〇 A之間。 有機矽酸鹽層206形成在基材結構250之後,視情況 而疋對有機矽酸鹽層206進行回火。有機矽酸鹽層206的 回火之進行則依據上述之製程變數。 Β· 加入有機矽酸鹽層之鑲嵌結構 第4a圖至第4e圖係繪示基材3〇〇於鑲嵌結構製造順 序的不同階段中之概要剖面圖,其中有機矽酸鹽層係加入 镶嵌結構中。一般鑲嵌結構係用來在積體電路上形成金屬 内連線。根據特定的處理階段,基材3 0 〇相當於矽基材, 或已形成在基材3 00上之其他材料層。舉例來說,第4a 圖係繪示其上已形成有導體特徵3〇卜内金屬介電質303、 阻障層305之基材300,並有第一介電層302形成在基材 300上。導體特徵301可為金屬層(例如鋁、銅)。内金屬 介電質303與阻障層305可以是低介電常數的材料(例如 有機矽酸鹽材料、碳化矽)。第一介電層302係為氧化物(例 如氧化矽、氟矽酸玻璃)。大體而言,基材3 00可包括矽 層、金屬矽化物層、金屬層、或其他材料層。 第4 a圖係繪示一個實施例,其中基材3 0 0係為矽, 導體特徵301係為銅,内金屬介電質303係為氧化矽。P且 障層305 —般為碳化矽層,適用於降低金屬從導體特徵 第14頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ·* 裝 I ·?# (請先閲讀背面之注意事項再填寫本頁) 546768 五、發明說明( 301擴散到第— 至約心以之: 。阻障層3G5的厚度在約20以 蓋之介電材料、#、係依據其介電常數及其相對於上方覆 ^刻選擇比而定(例如阻障層305相對於 工乃復蓋 < 介蕾、 第-介電層,09 大於,約10 : 1之蝕刻選擇比)。 r撼 G2的厚度在約l,_A至❺1G,刚A之間, 依據結構製造的尺寸而定。 —根據則述〈製程變數,在第-介電層302上形成有機 矽酸鹽層304。有機矽酸鹽層3〇4的介電常數低於3 〇,因 :可:免或降低鑲嵌結構中所形成之金屬内連線之間耦 。的私谷。在形成有機矽酸鹽層304時,其介電常數是可 以調整的,7J因其介電常數為反應溫度與混合氣體組成的 函數之一,可改變至預定的範圍。 有機碎酸鹽層304的厚度可隨著特定處理階段而改 又 般有機碎酸鹽層304的厚度在約200Α至約1,〇〇〇Α 之間,取決於其介電常數及其相對於上方覆蓋之介電材料 <蝕刻選擇比而定(例如阻障層305相對於上方覆蓋之介 電層’具有大於約1 〇 : 1之蝕刻選擇比)。 請參考第4b圖,圖案化並蝕刻有機矽酸鹽層3〇4,藉 以在其上定義出接觸窗/介層窗3 〇6,並暴露出第一介電層 302 ’在所暴露出之區域形成接觸/介層。接觸窗/介層窗 306係位於導體特徵3〇1之上。 有機梦酸鹽層304之圖案化可使用常見的微影製程。 舉例來說,在常見的微影製程中,在有機矽酸鹽層304上 形成感能光阻(Energy Sensitive Resist)材料層(圖未緣 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本買> 裝. -訂· 經濟部智慧財產局員工消費合作社印製 546768 A7 B7 五、發明説明() 示)。感能光阻材料層可用介於約4,000 A至約1〇,0〇〇人 之厚度旋塗在基材300上。大部分感能光阻材料層對於波 長低於約45 0奈米(Nanometer ; nm)的紫外線(UV)||射敏 感。深紫外線(Deep Ultraviolet; DUV)光阻材料則對於波 長低於約245 nm的紫外線輻射敏感。 將感能光阻材料層透過光罩(圖未緣示)暴露在UV輻 射中,藉此將圖案影像導入到感能光阻材料層上。接著, 在適當的顯影劑中將感能光阻材料層上的圖案影像顯影 出來,藉以定義出圖案。然後請參考第4b圖,感能光阻 材料層上定義出的圖案,就轉移到有機矽酸鹽層304。 轉移到有機矽酸鹽層304上的圖案,係利用感能光阻 材料(圖未繪示)作為罩幕,同時也使用適當的蝕刻溶液。 例如四氟化碳(CF4)、氟乙烷(C2F6)以及氟乙烷(C4F8)可用 於對有機矽酸鹽層304進行化學性蝕刻。 在有機矽酸鹽層304圖案化後,第二介電層308就沉 積在有機矽酸鹽層3 04上,如第4c圖所示。第二介電層 308係為氧化物(例如二氧化矽、氟矽酸玻璃)。第二介電 層3 08的厚度在約1,〇〇〇 A至約10,000 A之間,依據結構 製造的尺寸而定。 圖案化第二介電層308,藉以定義出内連線開口 310, 如第4d圖所示,較佳係使用上述之常見的微影製程。形 成在第二介電層308中之内連線開口 310係位於有機矽酸 鹽層304之接觸窗/介層窗306上。之後,利用例如反應性 離子蚀刻(Reactive Ion Etching)或其他的非等向性蚀刻 第16貫 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — 1 — — 麗 — — — 番 看 (請先閲讀背面之注意事項再填寫本頁) T丨訂· 經濟部智慧財產局員工消費合作社印製 546768 A7 --------— B7 —_ 五、發明説明() ^ --- (^iSOtr〇pic Etching)技術,來姓刻内連線開口 3 1〇與接觸 窗/介層窗306,藉以暴露出導體特徵3〇1之表面。 請參考第4e圖,將導體材料314,係例如鋁、鋼、鎢 或其組合,填充在内連線開口 31〇與接觸窗/介層窗 中。由於銅的電阻率低(電阻率約為i 7 〜般係 將銅填充在内連線開口 310與接觸窗/介層窗3〇6中。接著 利用例如化學氣相沉積、物理氣相沉積、電化學電鍍或其 組合,來沉積導體材料314,以形成鑲嵌結構。較佳的情 況是阻障層3 1 2係例如鋰、氮化姮、或其他適合的阻障材 料先共形地沉積在内連線開口 31〇與接觸窗/介層窗3〇6 之側壁上,以避免金屬移入周圍的第一介電層3〇2、第二 介電層308以及有機矽酸鹽層3 04中。 如熟悉此技術之人員所瞭解的,本發明所述之數個實 施例僅為本發明之講述,而非用以限定本發明之申請專利 範圍;正如熟悉此技術之人員所瞭解的,凡其它未脫離本 發明所揭示之精神下所完成之等效改變或修飾,均應包括 在下述之申請專利範圍内。 ......:r i··裝——·:訂........41^ (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第Γ7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)

Claims (1)

  1. 546768 ABCD 經濟部智慧財產局員工消費合作社印製 /、申叫專利範圍 1 · 一種薄膜沉積的方法,至少包括: 放置一基材於一沉積室中, , Jt中該混合氣體至 提供一混合氣體於該沉積室中’丹 人备备*St ; 以及 少包括一有機矽甲烷化合物與一含乳乳 — π Α Λ體’藉以在該 在該沉積室中施加一電場於該’心口、 基材上形成一有機矽酸鹽層。 2.如申請專利範圍第1項所述之薄膜沉積的方法/、中心 有機矽甲烷化合物具有一分子通式為SlaCbHc〇d其中& 的一範圍介於約1至約2,b的一範園介於力至"、勺 c的一範圍介於約6至約30, d的一範圍介於約〇至約 3.如申請專利範圍第2項所述之薄膜沉積的方法’其中遠 有機矽甲烷化合物係選自於由甲基矽〒虎(SiCH6)、二T 基矽甲烷(SiC2H8)、三甲基矽甲烷(siC3Hi〇)、四甲基梦 甲烷(SiC4H12)、甲氧基矽甲烷(SiCH6〇)、二甲基二甲氧 基赛甲烷(SiC4H12〇2)、二乙基二乙氧基碎 - 〆乙 (SiC8H20O2)、二甲基二乙氧基矽甲烷(SiC6H16〇2)、^ 基二甲氧基矽甲烷(SiC6H〖6〇2)、六甲基二碎和 (Si2C6H18〇)、二(甲基矽甲烷基)甲烷(Si2C3Hl2)、I2 二(甲基矽甲烷基)乙烷(ShQHi4)及其組合所組成& / 族群。 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .........Γ ·裝-------訂........ (請先閲讀背面之注意事項再填寫本頁) 546768 ABCD
    經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 4. 如申請專利範圍第1項所述之薄膜沉積的方法’其中該 含氧氣體係選自於由氧化亞氮(N2〇)、氧氣(〇2)臭氧 (03)、一氧化碳(C0)、二氧化碳(c〇2)及其組合所組成 之一族群。 5. 如申請專利範圍第1項所述之薄膜沉積的方法’其中在 該沉積室中施加該電場於該混合氣體之步驟中’係使用 一射頻(Radio Frequency ; RF)電力。 6. 如申請專利範圍第5項所述之薄膜沉積的方法,其中該 RF電力介於約每平方公分1瓦特(watt/cm2)至約5 00 watts/cm2 之間。 7. 如申請專利範圍第1項所述之薄膜沉積的方法,其中該 沉積室之一壓:力係介於約1托爾(Torr)至約5 00 Torr。 8·如申請專利範圍第1項所述之薄膜沉積的方法,其中該 有機矽甲烷化合物以一氣流速率介於約50 seem至約 1000 seem供應至該沉積室中。 9·如申請專利範圍第1項所述之薄膜沉積的方法,其中該 含氧氣體以一氣流速率介於約10 seem至約1〇〇〇 seem 供應至該沉積室中。 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ---®裝------·訂........ (請先閲讀背面之注意事項再填寫本頁) ^6768 ABCP一 申請專利範圍 1 〇.如申請專利範圍第丨項所述之薄膜沉積的方法,其中該 含氧氣體與該有機矽f炼化合物之—比例係介於约1: 1 至约1 : 5之間。 1 1 ♦如申請專利範圍第1項所述之薄膜沉積的方法,其中該 沉積室之一溫度係維持在介於約5(TC至約50(TC之間。 I2·如申請專利範圍第丨項所述之薄膜沉積的方法,其中該 有機矽酸鹽層以約每分鐘〇·1微米(μπι/min·)以上之速率 形成於該基材上。 1 3.如申請專利範圍第1項所述之薄膜沉積的方法,其中更 至少包括對形成於該基材上之該有機梦酸鹽層進行一 回火步驟。 1 4·如申請專利範圍第1 3項所述之薄膜沉積的方法,其中 進行該回火步驟之一溫度係介於約200°C至約5〇〇之 間。 1請先閱讀背面之注意事項再填寫本頁)
    訂 經濟部智慧財產局員工消費合作社印製 1 5 .如申請專利範圍第1 3項所述之薄膜沉積的方法,其中 該回火步驟在包括一或複數種氣體之一空氣中進行,而 該或該些氣體係選自於由氮氣(N〇、氫氣(HO、以及氧 氣(〇2)所組成之一族群。 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ABCD 546768 六、申請專利範圍 16·如申請專利範圍第13項所述之薄膜沉積的方法,其 進行該回火步驟之一時間係少於約3 0分鐘。 、 17·—種在基材上形成有機矽酸鹽層之方法,至少 I括: 放置一基材於一沉積室中; 提供一混合氣體於該沉積室中,其中該混A a a氣體至 少包括一有機矽甲烷化合物與一含氧氣體;以及 在沉積室中施加一電場於該混合氣體,藉以在: 材上形成一有機矽酸鹽層。 、基 18·如申請專利範圍第17項所述之在基材上形成右 〜π機今酸 鹽層之方法,其中該有機矽甲烷化合物具有一分予 、 通式 為SiaCbHc〇d’其中a的一範圍介於約1至約2, ^ —* 範圍介於約1至約10,c的一範圍介於約6至约30, d 的一範圍介於約0至約6。 19.如申請專利範圍第18項所述之在基材上形成有機發酸 鹽層之方法,其中該有機矽甲烷化合物係選自於由甲基 矽甲烷(SiCHU)、二甲基矽甲烷(siC2H8)、三甲基矽甲烷 (SiC3H10)、四甲基矽甲烷(SiC4H12)、甲氧基矽甲烷 (SiCH60)、二甲基二甲氧基矽甲烷(SiC4H1202)、二乙基 二乙氧基矽甲烷(SiC8H20〇2)、二甲基二乙氧基矽甲烷 (SiC6Hu〇2)、二乙基二甲氧基矽甲烷(SiC6H16〇2)、六甲 基二矽氧烷(ShC^HuO)、二(甲基矽甲烷基)甲烷 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 丨裝丨· (請先閲讀背面之注意事項再填寫本頁) •訂· 經濟部智慧財產局員工消費合作社印製 ABCD 546768 六、申請專利範圍 (Si2C3H12)、l,2-二(甲基矽甲烷基)乙烷(Sl2C4Hl4)及其 組合所組成之一族群。 20.如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該含氧氣體係選自於由氧化亞氮 (N20)、氧氣(〇2)、臭氧(〇3)、一氧化碳(C0)、二氧化 碳(co2)及其組合所組成之一族群。 21·如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中在該沉積室中施加該電場於該混合氣 體之步驟中,係使用一射頻(RF)電力。 22.如申請專利範圍第21項所述之在基材上形成有機梦酸 鹽層之方法,其中該RF電力介於約1 watt/cm2至約500 watts/cm2 之間。 23·如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該沉積室之一壓力係介於約1 Torr 至約 500 Torr。 24.如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該有機矽甲烷化合物以一氣流速率介 於約50 seem至約1〇〇〇 sccm供應至該沉積室中。 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ..........-装::---·:訂........ (請先閱讀背面之注意事項存填寫本頁) 經濟部智慧財產局員工消費合作社印製 546768。』, abcd^ 六、申請專利範圍 2 5·如申請專利範圍第π項所述之在基材上形成有機矽酸 鹽層之方法,其中該含氧氟體以一氣流速率介於約10 seem至約10〇〇 sccm供應矣該沉積室中。 26·如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該含氧氣體與該有機矽甲烷化合物之 一比例係介於約1 : 1至約1 : 5之間。 27 ·如申請專利範園第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該沉積室之一溫度係維持在介於約50 °C至約50(TC之間。 28·如申請專利範園第17項所述之在基材上形成有機矽酸 鹽層之方法,其中該有機梦酸鹽層以約〇·1 μπι/mi η.以 上之速率形成於該基材上。 經濟部智慧財產局員工消費合作社印製 29. 如申請專利範圍第17項所述之在基材上形成有機矽酸 鹽層之方法,其中更至少包括對形成於該基材上之該有 機矽酸鹽層進行一回火步驟。 30. 如申凊專利範圍第29項所述之在基材上形成有機珍酸 鹽層之方法,其中進行該回火步騾之一溫度係介於約 200°C至約500°C之間。 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ..............SW----...... (請先閱讀背面之注意事項再填寫本頁) 546768 A8 B8 C8 D8 申請專利範圍 31·如申請專利範圍第29項所述之在基材上形成有機矽酸 鹽層之方法,其中該回火步驟在包括一或複數種氣體之 一空氣中進行,而該或該些氣體係選自於由氮氣(Ν2)、 氫氣(Hz)、以及氧氣(〇2)所組成之一族群。 32.如申請專利範圍第29項所述之在基材上形成有機矽酸 鹽層之方法,其中進行該回火步驟之一時間係少於約3 0 分鐘。 33·—種含有軟體例行程式之電腦儲存媒體,係在執行該軟 體例行程式時,使一通用功能電腦利用一沉積薄層方法 以控制一沉積室,該沉積薄層方法至少包括: 放置一基材於該沉積室中; 提供一混合氣體於該沉積室中,其中該混合氣體至 少包括一有機矽甲烷化合物與一含氧氣體;以及 在該沉積室中施加一電場於該混合氣體,藉以在該 .........·裝: (請先閱讀背面之注意事項再填寫本頁) ’訂_ 經濟部智慧財產局員工消費合作社印製 基材上形成一有機矽酸鹽層。 3 4 ·如申請專利範圍第3 3項所述之電腦儲存媒體,其中該 有機矽甲烷化合物具有一分子通式為SiaCbHc〇d,其中a 的一範圍介於約1至約2, b的一範圍介於約1至約10, c的一範圍介於約6至約3 0,d的一範圍介於約〇至約 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(2ΐ〇χ297公愛) 546768 A8 B8 C8 D8 申請專利範圍 35·如申請專利範圍第34項所述之電腦儲存媒體’其中該 有機矽甲烷化合物係選自於由甲基矽甲烷(SiCH6)、二甲 基矽甲燒(SiC2H8)、三甲基梦甲燒(SiC3Hl0)、四甲基梦 甲烷(SiC4H〖2)、甲氧基矽甲烷(SiCH6〇)、二甲基二甲氧 基矽甲烷(SiC4Hi2〇2)、二乙基二乙氧基梦甲燒 (SiC8H20〇2)、二甲基二乙氧基矽甲烷二乙 基二甲氧基碎甲虎(SiCsHuO2)、六甲基二梦氧燒 (Si2C6H18〇)、二(甲基矿甲烷基)甲烷(Si2C3Hl2)、1,2-二(甲基矽甲烷基)乙烷(ShCUHi4)及其組合所組成之一 族群。 36·如申請專利範圍第33項所述之電腦儲存媒體,其中該 含氧氣體係選自於由氧化亞氮(N2〇)、氧氣(〇2)、臭氧 (0 3)、一氧化碳(C 0)、二氧化碳(C 0 2)及其組合所組成 之一族群。 37.如申請專利範圍第33項所述之電腦儲存媒體,其中在 該沉積室中施加該電場於該混合氣體之步驟中’係使用 一射頻(RF)電力。 (請先閲讀背面之注意事項再填寫本頁) 裝. 訂· 經濟部智慧財產局員工消費合作社印製 38. 如申請專利範圍第3 7項所述之電腦儲存媒體’其中該 RF電力介於約1 watt/cm2至約500 watts/cm2之間。 3 9.如申請專利範圍第3 3項所述之電腦儲存媒體’其中該 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 546768 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 沉積室之一壓力係介於約1 Torr至約500 TorΓ。 40.如申請專利範圍第33項所述之電腦儲存媒體,其中該 有機梦甲垸化合物以一氣流速率介於約 50 seem至約 1000 seem供應至該沉積室中。 4 1.如申請專利範圍第3 3項所述之電腦儲存媒體,其中該 含氧氣體以一氣流速率介於約10 seem至約1000 seem 供應至該沉積室中。 42.如申請專利範圍第33項所述之電腦儲存媒體,其中該 含氧氣體與該有機矽甲烷化合物之一比例係介於約1 : 1 至約1 : 5之間。 4 3.如申請專利範圍第33項所述之電腦儲存媒體,其中該 沉積室之一溫度係維持在介於約50°C至約500°C之間。 44.如申請專利範圍第33項所述之電腦儲存媒體,其中該 有機碎酸鹽層以約 Ο.ΐμπι/min.以上之速率形成於該基 材上。 4 5.如申請專利範圍第33項所述之電腦儲存媒體,其中更 至少包括對形成於該基材上之該有機矽酸鹽層進行一 回火步驟。 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) .........·裝: (請先閲讀背面之注意事項再填寫本頁) •訂. # ABCD 一 經濟部智慧財產局員工消費合作社印製 546768 六、肀請專利範圍 46如申請專利範圍第45項所述之電腦儲存媒體,其中進 行該回火步驟之一溫度係介於約200 C至約500 °C乏 間。 47. 如申請專利範圍第45項所述之電腦儲存媒體,其中該 回火步驟在包括一或複數種氣體之一2氣中進行,而該 或該些氣體係選自於由氮氣(N2)、氫氣(H〗)、以及氡氣 (〇2)所組成之一族群。 48. 如申請專利範圍第45項所述之電腦儲存媒體,其中進 行該回火步驟之一時間係少於約3 0分鐘。 49. 一種形成元件的方法^,其至少包括: 提供一基材,其中該基材上已形成複數個導體特 歡;以及 形成一有機梦酸鹽層於該基材上,其中該有機梦酸 鹽層係藉由施加一電場於一混合氣體所形成,且該混合 氣體至少包括一有機矽甲烷化合物與一含氧氣體。 5 0·如申請專利範圍第49項所述之方法,其中該些導體特 徵係由一或複數個材料所形成,且該或該些材料選自於 由銅、鋁、鎢及其組合所組成之一族群。 51.如申請專利範圍第49項所述之方法,纟中該有機今甲 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 -----« ^« I (請先閲讀背面之注意事項再填寫本頁) -訂 546768 ABCD 六、申請專利範圍 烷化合物具有一分子通式為SiaCbHc:Od,其中a的—範 圍介於約1至約2,b的一範圍介於約1至約1 〇,c的 一範圍介於約6至約3 0 ’ d的一範圍介於約〇至約6。 5 2·如申請專利範圍第51項所述之方法,其中該有機硬甲 烷化合物係選自於由甲基矽甲烷(SiCKU)、二甲基命甲燒 (SiC2H〇、三甲基矽甲烷(SiGHn))、四甲基矽甲燒 (SiCaHu)、甲氧基矽甲烷(SiCH6〇)、二甲基二甲氧基矽 甲烷(SiC4H1202)、二乙基二乙氧基矽甲烷(SiC8H20〇2)、 二甲基二乙氧基矽甲烷(SiC 6Hi6〇2)、二乙基二甲氧基碎 甲烷(SiC6H1602)、六甲基二矽氧烷(Si2C6H180)、二(甲 基矽甲烷基)甲烷(ShCsHi2)、1,2-二(甲基矽甲烷基)乙烷 (Si2C4Hi4)及其組合所組成之一族群。 5 3 ♦如申請專利範圍第49項所述之方法,其中該含氧氣體 係選自於由氧化亞氮(N20)、氧氣(〇2)、臭氧(〇3)、一氧 化碳(C0)、二氧化碳(C02)及其組合所組成之一族群。 54.如申請專利範圍第49項所述之方法,其中施加該電場 於該混合氣體之步驟中,係使用一射頻(RF)電力。 5 5.如申請專利範圍第54項所述之方法,其中該RF電力 介於約 1 watt/cm2 至約 500 watts/cm2 之間。 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) -if -裝: (請先閲讀背面之注意事項再填寫本頁) -、一一ά 經濟部智慧財產局員工消費合作社印製 546768 六 I 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 5 6.如申請專利範圍第49項所述之方法,其中該有機矽酸 鹽層係於一沉積室中形成,且該沉積室之一壓力係介於 約 1 Torr 至約 500 Torr。 57.如申請專利範圍第56項所述之方法,其中該有機矽甲 燒化合物以一氣流速率介於約50 seem至約1000 seem 供應至該沉積室中。 5 8.如申請專利範圍第56項所述之方法,其中該含氧氣體 以一氣流速率介於約10 seem至约1000 seem供應至該 沉積室中。 59.如申請專利範圍第56項所述之方法,其中該含氧氣體 與該有機矽甲烷化合物之比例係介於約1 : 1至約1 : 5 之間。 6 0.如申請專利範圍第56項所述之方法,其中該沉積室之 一溫度係維持在介於約50°C至約500°C之間。 61. 如申請專利範圍第49項所述之方法,其中該有機矽酸 鹽層以約 0.1 μπι/min.以上之速率形成在該些導體特徵 62. 如申請專利範圍第49項所述之方法,其中更至少包括 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 546768 8 8 8 8 ABCD 申請專利範圍 對形成於該基材上之該有機矽酸鹽層進行一回火步 驟。 63. 如申請專利範圍第62項所述之方法,其中進行該回火 步驟之一溫度係介於約200°C至約500°C之間。 64. 如申請專利範圍第62項所述之方法,其中該回火步驟 在包括一或複數種氣體之一空氣中進行,而該或該些氣 體係選自於由氮氣(N2)、氫氣(H2)、以及氧氣(02)所組 成之一族群。 65. 如申請專利範圍第63項所述之方法,其中進行該回火 步驟之一時間係少於約3 0分鐘。 66. —種製造鑲嵌結構的方法,其至少包括: 形成一第一介電層於一基材上; 形成一有機矽酸鹽層於該第一介電層上,其中該有 機矽酸鹽層係藉由施加一電場於一混合氣體所形成,且 該混合氣體至少包括一有機矽甲烷化合物與一含氧氣 體, 圖案化該有機矽酸鹽層,藉以在該有機矽酸鹽層中 定義出複數個接觸窗/介層窗; 形成一第二介電層在圖案化之該有機矽酸鹽層上; 圖案化該第二介電層,藉以在該第二介電層中定義 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公釐) 着· — — — — — ··I 通 I (請先閲讀背面之注意事項再填寫本頁) •、π· 經濟部智慧財產局員工消費合作社印製 546768 ABCD 六、申請專利範圍 出複數個内連線開口,其中該些内連線開口係位於定義 在該有機矽酸鹽層之該些接觸窗/介層窗上; , 蝕刻該第一介電層,藉以形成該些接觸窗/介層窗; 以及 以一導體材料填充該些接觸窗/介層窗以及該些内 連線開口。 6 7.如申請專利範圍第6 6項所述之方法,其中該第一介電 層與該第二介電層係選自於由非晶系碳、含氟之非晶系 碳、聚對-二甲苯基(Parylene)、氟矽酸玻璃(Fluorinated Silicate Glass ; FSG)、八氟[2,2]對環紛(Octafluoro-[2,2]-paracyclophane ; AF4)、 苯環 丁少希 (Benzocyclobutene; BCB)、碳化矽、氮氧化物(Oxynitride) 及其組合所組成之一族群。 68·如申請專利範圍第66項所述之方法,其中填充該些接 觸窗/介層窗以及該些内連線開口之該導體材料係選自 於由銅、鋁、鎢、及其組合所組成之一族群。 69.如申請專利範圍第66項所述之方法’其中該有機矽甲 烷化合物具有一分子通式為SiaCbHc〇d ’其中a的一範 圍介於約1至約2,b的一範圍介於約1至約10,c的 一範圍介於約6至約3 0,d的一範園介於約0至約6。 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 丨裝· ▼訂· 經濟部智慧財產局員工消費合作社印製 546768 A BCD 申請專利範圍 70.如申請專利範圍第69項所述之方法,其中該有機發甲 烷化合物係選自於由甲基矽甲烷(SiCH6)、二甲基政甲燒 (SiC2H8)、三甲基矽甲烷(SiC3H10)、四甲基矽甲统 (SiCUH!2)、甲氧基矽甲烷(SiCHsO)、二甲基二甲氧基碎 甲烷(SiC4H12〇2)、二乙基二乙氧基矽甲烷(SiC8H2〇〇2)、 二甲基二乙氧基矽甲烷(SiCsHMO2)、二乙基二甲氧基矽 甲烷(SiC6H1602)、六甲基二矽氧烷(Si2C6H180)、二(甲 基矽甲烷基)甲烷(ShCsHu)、1,2-二(甲基矽甲烷基)乙烷 (Si2C4H14)及其組合所組成之一族群。 71·如申請專利範圍第66項所述之方法,其中該含氧氣體 係選自於由氧化亞氮(N20)、氧氣(02)、臭氧(〇3)、一氧 化碳(CO)、二氧化碳(C02)及其組合所組成之一族群。 72. 如申請專利範圍第66項所述之方法,其中施加該電場 於該混合氣體之步騾中,係使用一射頻(RF)電力。 73. 如申請專利範圍第72項所述之方法,其中該RF電力 介於約 1 watt/cm2 至約 500 watts/cm2 之間。 74. 如申請專利範圍第66項所述之方法,其中該有機矽酸 鹽層係於一沉積室中形成,且該沉積室之一壓力係介於 約 1 Torr 至約 500 Torr。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — — — — — I I I (請先閲讀背面之注意事項再填寫本頁) -訂· 經濟部智慧財產局員工消費合作社印製 546768 A8 B8 C8 D8
    經濟部智慧財產局員工消費合作社印製 申請專利範圍 7 5.如申請專利範圍第74項所述之方法,其中該有機矽甲 燒化合物以一氣流速率介於約50 seem至約1000 sc0m 供應至該沉積室中。 7 6.如申請專利範圍第74項所述之方法,其中該含氧氣體 以一氣流速率介於約10 seem至約1 000 seem供應至該 沉積室中。 77. 如申請專利範圍第74項所述之方法,其中該含氧氣體 與該有機矽甲烷化合物之比例係介於約1 : 1至約1 : 5 之間。 78. 如申請專利範圍第74項所述之方法,其中該沉積室之 一溫度係維持在介於約50°C至約500°C之間。 79. 如申請專利範圍第66項所述之方法,其中該有機矽酸 鹽層以約0.1 μπι/min·以上之速率形成在該第一介電層 上。 8 0.如申請專利範圍第66項所述之方法,其中更至少包括 對形成於該第一介電層上之該有機矽酸鹽層進行一回 火步驟。 8 1.如申請專利範圍第80項所述之方法,其中進行該回火 第33頁 (請先閲讀背面之注意事項再填寫本頁) 裝· •訂· 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 546768 ABCD 々、申請專利範圍 步驟之一溫度係介於約200°C至約500°C之間。 8 2.如申請專利範圍第80項所述之方法,其中該回火步驟 在包括一或複數種氣體之一空氣中進行,而該或該些氣 體係選自於由氮氣(N2)、氫氣(H2)、以及氧氣(02)所組 成之一族群。 83.如申請專利範圍第80項所述之方法,其中進行該回火 步驟之一時間係少於約3 0分鐘。 ---------.-»1--I 襄---Μ---.---------- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐)
TW090125338A 2000-10-30 2001-10-12 Method of depositing organosilicate layers TW546768B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/702,600 US6531398B1 (en) 2000-10-30 2000-10-30 Method of depositing organosillicate layers

Publications (1)

Publication Number Publication Date
TW546768B true TW546768B (en) 2003-08-11

Family

ID=24821882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090125338A TW546768B (en) 2000-10-30 2001-10-12 Method of depositing organosilicate layers

Country Status (5)

Country Link
US (1) US6531398B1 (zh)
EP (1) EP1201785A1 (zh)
JP (1) JP2002275631A (zh)
KR (1) KR100818953B1 (zh)
TW (1) TW546768B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
KR101210859B1 (ko) * 2004-08-18 2012-12-11 다우 코닝 코포레이션 피복 기판 및 이의 제조방법
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
KR101932899B1 (ko) 2009-10-27 2018-12-26 실코텍 코포레이션 화학적 증기 증착 코팅, 물품, 및 방법
KR101512579B1 (ko) 2010-10-05 2015-04-15 실코텍 코포레이션 내마모성 코팅, 물건 및 방법
US9975143B2 (en) 2013-05-14 2018-05-22 Silcotek Corp. Chemical vapor deposition functionalization
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
US6037274A (en) 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09251997A (ja) 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
KR100440233B1 (ko) 1996-08-24 2004-07-15 트리콘 이큅먼츠 리미티드 반도체 기판 처리방법
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
JPH10242143A (ja) 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1125193A (ja) 1997-06-27 1999-01-29 Mitsubishi Electric Corp 訪問看護支援システムにおける携帯端末
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
KR100605770B1 (ko) * 1998-02-11 2006-07-28 어플라이드 머티어리얼스, 인코포레이티드 저 유전상수 필름을 증착하는 플라즈마 방법
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP2003503849A (ja) 1999-06-26 2003-01-28 トリコン ホールディングス リミティド 基材上にフィルムを形成する方法及び装置
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
CN100386472C (zh) 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0

Also Published As

Publication number Publication date
EP1201785A1 (en) 2002-05-02
US6531398B1 (en) 2003-03-11
KR20020033577A (ko) 2002-05-07
JP2002275631A (ja) 2002-09-25
KR100818953B1 (ko) 2008-04-04

Similar Documents

Publication Publication Date Title
TW546768B (en) Method of depositing organosilicate layers
TW552308B (en) Method of depositing organosilicate layers
TW466632B (en) Method of depositing a low K films using an oxidizing plasma
TW558769B (en) Etch process for dielectric materials comprising oxidized organo silane materials
TWI259850B (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6632735B2 (en) Method of depositing low dielectric constant carbon doped silicon oxide
EP1176226B1 (en) Method of deposition of silicon carbide film in integrated circuit fabrication
KR100661201B1 (ko) 절연층을 증착하고 에칭하기 위한 방법
TW406300B (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
TW580752B (en) Method of depositing a nitrogen-doped FSG layer
TW593739B (en) Method of depositing organosilicate layers and use thereof
TWI375991B (en) Method for multi-layer resist plasma etch
US20030148223A1 (en) Method of depositing low dielectric constant silicon carbide layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
TW200814196A (en) A method for depositing and curing low-k films for gapfill and conformal film applications
TW535253B (en) Plasma treatment of silicon carbide films
TW200807558A (en) A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US6472333B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
TW200411765A (en) Improved etch stop layer
KR20010112115A (ko) 플루오로-오르가노실리케이트층
US20020142104A1 (en) Plasma treatment of organosilicate layers
US6583026B1 (en) Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
TW392297B (en) Method for fabricating via that uses organic dielectric material as inter metal dielectrics

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees