JP2003503849A - 基材上にフィルムを形成する方法及び装置 - Google Patents

基材上にフィルムを形成する方法及び装置

Info

Publication number
JP2003503849A
JP2003503849A JP2001506599A JP2001506599A JP2003503849A JP 2003503849 A JP2003503849 A JP 2003503849A JP 2001506599 A JP2001506599 A JP 2001506599A JP 2001506599 A JP2001506599 A JP 2001506599A JP 2003503849 A JP2003503849 A JP 2003503849A
Authority
JP
Japan
Prior art keywords
film
plasma
substrate
silicon
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001506599A
Other languages
English (en)
Inventor
ギルス,キャサリン
ビークマン,ナット
デイビッド ドブソン,クリストファー
マックネイル,ジョン
ポール ウィルビー,アントニー
Original Assignee
トリコン ホールディングス リミティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9914879.3A external-priority patent/GB9914879D0/en
Priority claimed from GB9922691A external-priority patent/GB2354528B/en
Priority claimed from GBGB9922693.8A external-priority patent/GB9922693D0/en
Priority claimed from GBGB9922801.7A external-priority patent/GB9922801D0/en
Priority claimed from GB0000780A external-priority patent/GB0000780D0/en
Application filed by トリコン ホールディングス リミティド filed Critical トリコン ホールディングス リミティド
Publication of JP2003503849A publication Critical patent/JP2003503849A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

(57)【要約】 基材上にフィルムを製造する方法及び装置。本発明は、基材上にフィルムを製造する方法及び装置に関する。この方法は、気体又は蒸気のケイ素含有有機化合物及び酸化剤を、プラズマの存在下で容器に供給して、基材上にフィルムを堆積させ、そして炭素含有基がフィルム内に保持されるようにしてフィルムを硬化させることを含む。特定の態様では、フィルムの硬化はH2プラズマにフィルムを露出させることによって行う。

Description

【発明の詳細な説明】
【0001】 本発明は、基材上にフィルムを形成する方法及び装置に関する。限定するわけ
ではないが本発明は特に、流動性を有するようにして半導体シリコンウェハー上
に堆積され、且つ硬化したときに炭素含有基を保持しているフィルムに関する。
【0002】 半導体ウェハー上に薄いフィルムを堆積させるための多くの方法が開示されて
いる。これらの例としては、米国特許第5,314,724号明細書、同第48
9753号、同第5,593,741号、ヨーロッパ特許出願公開第0,731
,982号、同第0,726,599号明細書を挙げることができる。最近まで
、有機物含有ケイ素先駆物質は、堆積させたフィルムから有機成分を実質的に除
去する又はなくすようにして、処理又は更なる処理をされてきたことが、これら
の文献から理解される。これは例えば、米国特許第5,314,724号明細書
で示されている。更に、いくらかの用途では、フィルムを堆積させるウェハーの
凹部及び表面での良好な隙間の充填能力と良好なフィルムの質との両方の維持は
困難であることが分かっている。
【0003】 本発明の第1の面では、基材上にフィルムを形成する方法を提供する。この方
法は、 (a)容器内の支持体に基材を配置すること、 (b)気体又は蒸気のケイ素含有有機化合物及び酸化剤を、プラズマの存在下
で前記容器に供給し、前記基材上にフィルムを堆積させること、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
ること、 を含む。
【0004】 本発明は、硬化していないフィルムを作る方法を提供する。典型的にこのフィ
ルムは流動性(すなわち、フィルムはある程度の表面可動性を有する)であり、
従って基材上で良好な隙間の充填性能を提供する。
【0005】 隙間の充填性が必要とされない、例えば半導体の金属間誘電体(IMD)層の
場合、従って流動性中間フィルムが必要とされない場合であっても、本発明の普
遍性は影響を受けないことを理解すべきである。本発明で堆積させた中間フィル
ムはOHを有するが、「硬化」プロセスによってこれはほとんど完全に除去され
る。
【0006】 OH及びCH含有中間体は、更に処理してOHを除去しCHが残っているよう
にする。このOH及びCH含有中間体の形成は、改良された誘電体層の形成を可
能にする。
【0007】 基材は、半導体ウェハーでよい。これは例えば、当該技術分野で既知のタイプ
のシリコン半導体ウェハーである。
【0008】 好ましくは、酸化剤は酸素であるが、他の酸化剤、例えばH22を使用するこ
ともできる。
【0009】 例えば、ケイ素含有有機化合物は、有機シラン又は有機シロキサンでよい。ケ
イ素含有有機化合物は、好ましくはアルキルシラン、より好ましくはテトラアル
キルシランである。本発明の特に好ましい態様では、ケイ素含有有機化合物はテ
トラメチルシラン(TMS)である。しかしながら他の有機シラン又は有機シロ
キサン、例えば1,1,3,3−テトラメチルジシロキサン(TMDS)を使用
することができる。
【0010】 実験結果は、メトキシシラン、特にメトキシメチルシランが、誘電率が非常に
小さいフィルムを作り、特に好ましいことがあることを示唆している。
【0011】 特に良好な結果は、以下の構造のシクロヘキシルジメトキシメチルシラン(C
HDMMS)で得られた:
【化1】
【0012】 メトキシシラン(例えばCHDMMS)をプラズマ中で酸化剤を用いずに、上
述の様な方法によって処理できることも実験は示した。これは、Si−O結合が
既にメトキシ基の一部として存在しているためであることが示唆される。
【0013】 従って、本発明のもう1つの面は、基材上にフィルムを形成する方法であって
、 (a)容器内の支持体に基材を配置すること、 (b)気体又は蒸気のSi−O結合を有する有機化合物を前記容器に供給し、
前記基材上にフィルムを堆積させること、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
る(焼きなまし処理を行う)こと、 を含む。
【0014】 好ましくは、プラズマの存在下で前記化合物を供給するが、他のエネルギー源
を使用して、適当な堆積をもたらすことができ、またこれらをスピンオン技術と
組み合わせることもできる。
【0015】 フィルムは、低温支持体、例えば約0℃の温度の支持体に配置された基材に堆
積させることができる。実際に0℃〜70℃の温度が実用的な結果をもたらし、
30℃〜50℃の温度が特に実用的な結果をもたらした。
【0016】 1つの態様では本発明の方法は、フィルムの堆積の間に高周波出力を提供する
ことを更に含むことができる。この高周波出力は好ましくは、シャワーヘッド等
に提供し、ここを通して気体先駆物質を容器に導入する。
【0017】 任意の適当な実験条件を使用することができるが、典型的な条件は、210s
ccmの流量のテトラメチルシラン、200sccmの流量のO2、2000m
Torrの容器圧力、0℃の支持体温度、100℃のシャワーヘッド温度、及び
シャワーヘッドに提供される250Wで380kHzの高周波出力を含むことが
分かっている。しかしながらこれらは、単なる典型的な条件に過ぎない。
【0018】 フィルムの硬化は、焼きなまし処理工程で行うことができる。この焼きなまし
処理工程の温度は、例えば約450℃であり、これは堆積したフィルムから水を
除去するのに役立つ。硬化したフィルムの典型的なk値は、例えば酸素の存在し
ない条件において約450℃で焼きなまし処理を行った後の、ベース層(フィル
ムの堆積の前に作る層)又はキャップ層(形成されたフィルムの上の層)を伴っ
て堆積させた6,000Åの厚さのフィルムで、約2.55であることが分かっ
ている。このk値は誘電率の測定値であり、本発明は特に小さい誘電率を提供す
ることが理解される。
【0019】 あるいは、しばしば好ましくは、フィルムを予め加熱しないで、H2を含むプ
ラズマに堆積させたフィルムを露出させることによって、硬化工程を行う。これ
に関して、堆積段階の間に支持体をバイアスしないで、イオン衝撃(bombardmen
t)でもたらされる加熱を避けることが好ましい。
【0020】 本発明の第3の面では、 (a)容器内の支持体に基材を配置すること、 (b)プラズマの存在下で気体又は蒸気のテトラメチルシラン及び酸素、及び
高周波出力源を前記容器に供給して、前記基材上にフィルムを堆積させること、
並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
ること、 を含む、基材上にフィルムを形成する方法を提供する。
【0021】 硬化工程は、上述のように行うことができる。
【0022】 特に良好な結果は、前加熱を行わないH2プラズマ処理で達成された。
【0023】 本発明の更なる面では、 (a)容器内に配置される基材のための支持体、 (b)プラズマの存在下で気体又は蒸気のケイ素含有有機化合物及び酸化剤を
前記容器に供給して、前記基材にフィルムを堆積させる手段、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
る手段、 を含む、基材上にフィルムを形成する装置を提供する。
【0024】 1つの態様では、装置は更に、基材へのフィルム堆積の均一性を改良する手段
を更に具備する。これは、シャワーヘッドの領域又はその周囲に配置することが
できる。限定するわけではないが、堆積の均一性に関するその役割はおそらく、
表面周縁部で表面反応のための部位を提供して、表面の縁での堆積速度を促進す
ることによる。
【0025】 本発明をここまでで説明してきたが、本発明は、ここまでで示した特徴又は以
下の説明の任意の発明的組み合わせを含むことが理解される。
【0026】 本発明は様々な様式で行うことができるが、以下で添付の図面を参照して、特
定の態様について説明する。
【0027】 図1を参照すると、装置が1としておおよそ示されている。この装置1は、シ
ャワーヘッド3及びウェハー支持体又はプラテン4を有する減圧容器2を含む。
シャワーヘッド3は、高周波源(図示せず)に接続されて電極を形成しており、
支持体4は好ましくはアースされている。あるいは又はこれに加えて、高周波源
が、支持体4に接続されていてもよい。シャワーヘッド3は、パイプ(図示せず
)を経由して、テトラメチルシラン及び酸素のそれぞれの供給源に接続されてい
る。装置はほぼヨーロッパ特許出願公開第0,731,982号明細書で開示さ
れるものであり、この特許明細書の記載はここで参照して本発明の記載に含める
。しかしながら通常は、標準のシャワーヘッド(2重式ではないもの)を使用す
る。シャワーヘッド3の周囲に配置された随意の均一化リング5も示されている
。このリング5は、ウェハーへのフィルムの均一な堆積で活性な役割を果たし、
プロセスによっては望ましいこともある。
【0028】 使用においては、装置1は、水及び/又はOH含有中間フィルムをウェハーに
堆積させるように配置する。この中間フィルムは、流動性のあるものでよく、平
らな層を作るために、又は半導体デバイスの前金属誘電体、狭いトレンチの絶縁
及び金属間誘電体のような用途のための「隙間充填」のために使用することがで
きる。気体又は蒸気のテトラメチルシラン及び酸素を容器に導入し、そして容器
内でこれらを反応させることによって、フィルムを作ることができる。これは、
プラズマが存在する場合であっても、ある程度の表面可動性を有する中間層を作
る。本発明の方法によって、非常に小さい寸法の隙間を充填できることが見出さ
れた。多くのプロセス条件が、流動性はないが本発明の他の特性をまだ維持して
いるOH含有中間層をもたらすことができるので、これは限定的なものではない
。反応は、プラズマの存在下で行う。その後で、好ましくは酸素のない状態で、
最も好ましくは水素含有プラズマの存在下で、加熱によってフィルムに焼きなま
し処理を行う。
【0029】 [例] 先駆物質テトラメチルシラン(TMS)を、様々な他の先駆物質と共に、約0
℃のプラテン温度、及び小さい(例えば380kHz)又は大きい(例えば13
.56MHz)のいずれかの高周波出力で使用した。基本的な結果は以下のよう
なものである: TMS+H22 5,000mTorrまでの圧力範囲では
堆積がなかった。 TMS+MeSiH3+H22 MeSiH3+H22と比較してわずかに
炭素含有率が増加した。堆積速度は約6,000Å/分であった。 TMS+DiH4+H22 フィルム中に炭素は存在しなかった。堆積
速度は約900Å/分であった。 TMS+H22+高周波 堆積速度は約400Å/分であった。高屈
折率。 TMS+02+H22 堆積速度は2μm/分超であった。高炭素
含有率。
【0030】 「好ましい」プロセスを行った。このプロセスは、以下の条件からなっていた
: 210sccmのTMS(充填速度の監視から計算) 200sccmのO2 2,000mTorrの圧力 0℃のプラテン温度、100℃のシャワーヘッド温度、シャワーヘッドに適用
される250W(ワット)で380kHzの高周波出力。
【0031】 これは、酸素の存在しない条件において約450℃で焼きなまし処理した後の
、ベース又はキャップを伴わないで堆積させた6,000Åの厚さのフィルムで
、k値を2.55(CV技術によって測定)にした。
【0032】 図2は、本発明のこの態様の堆積させたままのフィルム及び焼きなまし処理を
したフィルムについての、FTIRスペクトルを示している。2つのスペクトル
は、比較を容易にするために同じ表に示されている。堆積させたままのフィルム
のスペクトルは、2つのスペクトルの下側のスペクトルであり、水に関連するO
−Hの特徴的なピーク6を示す。3,000〜2,600の波数では、遊離水に
関連するO−H結合、独立のO−H結合及びHに結合したO−Hが示されている
。水は、遊離水及びHに結合したO−Hを含んでおり、従ってこの領域で特徴的
な広いピークを与える。7では、C−H3のピーク、8ではSi−CH3(Si−
C)のピーク、そして9ではSi−Oのピークが示されている。
【0033】 堆積させたフィルムは、水及び/又はOHを含有しており、この水及び/又は
OHは後の焼きなまし処理工程で除去され、CH3が存在するようにされる。こ
のCH3はSiに結合して、焼きなまし処理を行って硬質のフィルムを作った後
でもフィルムに残る。
【0034】 一般に、小さいk値の特徴を示すものは、FTIRにおけるSi−CとSi−
Oとの大きいピーク面積比(PAR)である。Si−C結合はSi−O結合を防
ぎ、従って得られるフィルムの密度を減少させると考えられる。よって、Si−
C:Si−Oの大きいピーク面積比は、kが小さいフィルムを示している。しか
しながら、これらのプラズマ堆積及び焼きなまし処理をしたフィルムでは、測定
されるk値は、メチルシラン及びペルオキシドの反応によって堆積させた非プラ
ズマ堆積低k値フィルムから示唆されるk値を考慮すると、Si−C:Si−O
のピーク面積比程は小さくならない。
【0035】 図3は焼きなまし処理を行った本発明のフィルムである。この図は、堆積させ
たままのフィルムのフィルムの流動性を示すものである。
【0036】 一般に、プロセスのパラメータを変化させることによって、以下の影響が観察
されている: パラメータ 性質 屈折率 FTIRのピークSiC/SiO CH/SiOの面積比 均一性 圧力増加 減少 増加 増加 より良 出力増加 影響なし 減少 減少 より良 窒素流量増加 増加 減少 減少 悪化 TMS/O2比増加 減少 増加 増加 影響なし TMS/O2全体の減少 影響なし 減少 減少 影響なし
【0037】 実験は特に、シクロヘキシルジメトキシメチルシラン(CHDMMS)を使用
して行った。以下に示すように、これは誘電率をかなり減少させた。テトラメト
キシシランのような多くのメトキシシラン化合物で、利益が得られると考えられ
る。
【0038】 実質的に図1又は英国特許出願第9914879.3号明細書で示すような容
器を使用して、40mm〜20mmの電極隙間及びプラズマに基づかない処理で
除去する均一リングシールドで実験を行った。CHDMMSは、従来の低蒸気圧
質量流量制御装置ではなく、英国特許出願第9922691.2号明細書で説明
されるシリンジ輸送系を使用して容器に輸送した。ここでこの特許明細書の記載
は参照して本発明の記載に含める。これは、英国特許出願第9914879.3
号明細書で研究された他の先駆物質のほとんどと比較して、CHDMMSの沸点
が比較的高く(約200℃)、従来の手段によっては信頼可能に輸送できないた
めである。
【0039】 全てのプロセスは、シャワーヘッドにプラズマを適用して行った。全てのウェ
ハーを、典型的に30分間にわたる約480℃での焼きなまし処理によって「硬
化」させた。
【0040】 以下のパラメータ範囲を試験した: 圧力 − 500mTorr〜1500mTorr 出力(380kHz)− 50W〜750W プラテン温度 − 0℃〜70℃ CHDMMS流量 − 0.5g/分〜1.5g/分 酸素流量 − 0〜200sccm 窒素流量 − 0〜400sccm ペルオキシド流量 − 0〜0.75g/分
【0041】 相対的な流量は特にプロセスに依存していることが考慮される。一般に、比較
的多い流量は比較的大きい堆積速度をもたらし、従って幅広い範囲の流量が同様
な結果をもたらすことができる。上述の範囲外の値を適用することもできる。
【0042】 2つの特に好ましいプロセスの例を以下に示す。これらのうち一方は酸素を伴
うプロセスであり、他方は酸素を伴わないプロセスである。
【表1】
【表2】
【0043】 得られるフィルムに焼きなまし処理を行って、焼きなまし処理後の結果を以下
に示す。
【表3】
【表4】
【0044】 理解されるように、それぞれの場合の誘電率は望ましく低いが、「酸素あり」
のプロセスは有意に有利である。
【0045】 図4及び5は、焼きなまし処理後のそれぞれのFTIRスペクトルを示してい
る。これらは実質的に同様であることが示されている。図5の2500〜200
0の特徴は、大気(バックグランド)のCO2によるものであると考えられる。
【0046】 実際に初期の実験は、150℃に加熱された減圧アルミニウム容器内のPTF
EポットからなるCHDMMS源を使用して行った。このポットは、気体流路に
よって気体質量流量制御装置に接続されており、これは変換率1,000でH2
0に適当である。高周波出力(RF出力)は、ウェハーから40mm離れたシャ
ワーヘッドに供給されている。この高周波は380kHzの連続様式であった。
これらの実験から得られた結果は図6に示す。CHDMMSの欄の数字は、質量
流量制御装置によって測定されるガス流量であるが、安定な流れを達成すること
はできず、従ってこれらの結果は、無作為に近い量のCHDMMSを処理容器に
供給した場合の結果である。このために、この先駆物質の良好な輸送系が達成さ
れるまで、実験を停止ししていた。
【0047】 CHDMMSは、沸点が201.2℃であり、密度が0.940g/cm3
あった。これらの実験から示されたように、CHDMMSは酸化剤なしで低k値
の絶縁体を堆積させる。従って容器を必要とせずに、液体として半導体ウェハー
に導いて(例えば既知の「スピンオン」技術によって)、熱的に又はプラズマに
よって反応させて、低k値(3未満のk値)の絶縁体を作ることができると考え
られる。使用した装置は、液体先駆物質を気化させて、気体として輸送し、そし
てその圧力における先駆物質の沸点よりも低温のウェハー上に先駆物質を凝縮さ
せることによって、堆積を行わせることができる。先駆物質の反応がウェハー上
で起こるのか他の場所で起こるのかは不明であるが、反応生成物がウェハーに堆
積する。
【0048】 シリンジポンプを利用するより適当な液体輸送系を提供して、図7に示すよう
にして更なる実験を行った。これらの実験から、以下に示すように、好ましくは
プロセスが開発された。図6の試験番号13、14及び16〜23のためのFT
IRはそれぞれ、図8〜10に示す。
【0049】 以下の条件を使用して、更なる実験を行った: 圧力 2500ミリトール 13.56MHzの高周波出力 250ワット/200mmウェハー シャワーヘッド温度 100℃ TMS流量 100sccm(おおよそ) 酸素流量 100sccm 窒素流量 500〜600sccm
【0050】 酸素に対するTMS(テトラメチルシラン)の割合(ほぼ同じ量)は、先のも
のと同じであるが、全流量は半分である。この好ましくはプロセスでは、窒素を
主に希釈剤として使用した。
【0051】 熱処理工程(「硬化」又は「焼きなまし」) 時間 5分間 圧力 窒素で10Torr(酸素なし) ウェハー温度 約400℃
【0052】 上述のプロセスにおいてプロセスのプラテン温度を変化させると、結果は以下
のようになった。
【表5】
【0053】 再び初期堆積プロセスで、水及び/又はOH含有中間フィルムを堆積させた。
これはその後で水及び/又はOHを除去して硬化させ、低k値の層を作ることが
必要である。上述の実験のためには、この硬化は、示したような熱処理工程で達
成した。しかしながら他の堆積後処理を、以下で示すように試験した。この実験
の有意の特徴は、3未満の誘電率の連続的な達成と、30℃のプラテン温度を使
用したときの屈折率(密度を示すものであると考えられる)及び誘電率の低下を
観察することであった。これらの結果は、特定のフィルム組成で誘電率と密度と
が関連して、比較的小さい屈折率が通常は比較的小さい誘電率を示すという通常
の理解に一致する。
【0054】 この実験の後で、更なるフィルムの組を、以下のプロセス条件を使用して製造
した: TMS 100sccm O2 100sccm N2 600sccm 圧力 2000mTorr 基材支持体 30℃、DC大地電位(バイアスされていない) 出力 シャワーヘッドに250ワットの高周波13.56MHz
【0055】 このプロセスで得られるフィルムは、焼きなまし処理(以下ではFTM処理と
して言及する)によって、及び/又はH2プラズマ処理によるフィルムの処理に
よって硬化させる。
【0056】 FTM処理は以下のようなものであった: ウェハー温度 450℃ 圧力 10Torr(窒素) 時間 3〜5分間
【0057】 H2プラズマ処理は以下のようなものであった: 水素 1000sccm 圧力 4000mTorr 温度 400℃ 出力 ウェハーに向かい合って置かれた電極に対して200ワ
ット13.56MHzの高周波 時間 厚さ依存するが、典型的に6kÅの厚さで3分間。しか
しながら比較的長い時間が比較的小さいk値をもたらすと考えられる。
【0058】 あるいは、他の高周波を、容器に保持されているウェハーの内側又は外側の任
意の1又は複数の電極に提供し、それによって処理するフィルムの近くのイオン
化した水素種を作る又は維持することができる。これは、適当な場合には誘導完
全高周波源(inductively completed RF sources)及びマイクロ波のような
遠隔プラズマ源でもよい。
【0059】 H2プラズマは、他の成分、例えばアルゴン、ヘリウム又はこの処理によって
分解しない他の気体若しくは蒸気のような実質的に不活性な希釈剤を含むことも
できる。
【0060】 図11は、上述のように調製し、FTMによって又はプラズマによって処理し
たフィルムに関するそれぞれのFTIRプロットを示している。それぞれのグラ
フの下に示されているのは、様々な成分間の結合の比を示す表である。当業者が
理解するように、FTIRプロットのグラフの傾斜は重要なものではなく、ピー
クのみが情報を与えるものである。ピークの相対的な高さは、比に関する表で示
されており、堆積後のFTM処理をしたフィルムと比較したときに、プラズマに
よって処理したフィルムの様々な成分間の結合が有意に減少していることが理解
される。これは、水素プラズマ処理をした中間フィルムが、FTM処理をした中
間フィルムとは構造的に異なっていることを示す。
【0061】 図12では、様々な後処理プロセスをされたフィルムの厚さが有意に違わない
ことを、上側のプロットが示している。しかしながら、比較的長いプラズマ処理
では、屈折率が有意に変化している。実際に10分間のH2プラズマでは、屈折
率は純粋なSiOのそれに近い。通常、上述のように、結果としてこれは誘電率
を有意に増加させると考えられる。しかしながら、図17から理解されるように
、プラズマ処理の前にフィルムに熱処理を行わない場合、H2プラズマ処理の期
間が増加すると、これに伴って誘電率が有意に減少する。従って、10分間のH 2 プラズマ処理の後では、OH含有フィルムが硬化して、誘電率が2.2未満に
なる。この値は、化学気相堆積によっては典型的に達成することができない非常
に小さい値である。
【0062】 非常に短い(例えば1分間の)プラズマ処理は、フィルムを完全に硬化させな
いし、FTM焼きなまし処理をしたフィルムの誘電率未満に誘電率を有意に低下
させないが、フィルムはまだ他の報告されているフィルムに相当するものである
。湿式エッチング速度の実験は、水素プラズマ処理がフィルムの上部から始まる
ことを示した。比較的長いプラズマ処理では、処理される深さが比較的深くなり
、処理されたフィルムのk値が比較的小さくなる。水素プラズマ処理されたフィ
ルムは、FTM処理されたフィルムと比較して、有意にかなりゆっくりと(例え
ば20倍又はそれ以上で)エッチングされる。先に加熱又は焼きなまし処理を行
った後では、H2プラズマ処理は誘電率の減少に効果的でないことも観察されて
いる。
【0063】 図13、14及び15に関しては、図13で、FTM処理をされたフィルムは
、炭素及び水素などを伴うフォトレジストのフィルムからの除去のような酸化プ
ロセスに対して非常に耐久性であることが示されている。このようなフィルムが
誘電率に関して有益な影響を持つということが理解される前から、そのような酸
化プロセスは、フィルムのそのような材料を除去するために(特に先駆物質から
有機物質を除去するために)既に使用されてきたので、これは完全に意外なこと
ではない。また図14及び15は、プラズマによって処理されたフィルムが、酸
化フォトレジスト除去工程によって実質的に影響を受けないということを示して
いる。このことは、誘電体層に損傷を与えずに、誘電体層の表面からレジストを
除去することを比較的容易にするので、当然に重要である。図16は、プラズマ
処理をされたフィルムは、酸化除去の前後において、特に小さい応力値を有する
ことも示している。
【0064】 最終的に使用される誘電体層中に炭素、特にCHを含むほとんどの流動性の又
は水及び/又はOH含有フィルムで、同様な結果が達成されると考えられる。
【0065】 従って、本発明は特に、流動性の又は少なくともOHを含有する中間フィルム
を堆積させ、続いて酸素の存在しない条件で、好ましくはH2プラズマの存在下
で、熱によってこのフィルムを硬化させ、誘電率が3未満のフィルム、水素プラ
ズマを使用した場合には低下した誘電率と良好な耐酸化除去性を有するフィルム
をもたらす方法である。この組み合わせた結果は、H2処理が、屈折率を増加さ
せつつ、従って大きく減少した湿式エッチング速度によって示されるように密度
を増加させつつ、誘電率を減少させるという意外な事実からもたらされると考え
られる。
【0066】 これに関する更なる証拠は、図18及び19に示す。図18は、H2プラズマ
による新しい処理が誘電率を低下させ、30分間にわたって処理した試料では、
1.8のk値が達成されることを示している。
【0067】 図19は、以下のようにして行った水素プラズマ処理フィルムの解析結果を示
している。
【0068】 TMS+O2で堆積させ、5分間にわたって水素プラズマ処理をしたフィルム
のSIMS(第2イオン質量分光計)データを提供した。[水平軸は、試料の深
さに関するものであり、表面のすぐ上から始まってシリコンウェハーで終わって
いる。ここでは、有機物質で汚染された(高C含有率の)試料表面(無視する)
と、それに続く「実際の」解析値を示している。]
【0069】 SIMS分布は、水素プラズマ処理によって炭素及び水素が減少したフィルム
表面を示す。これは意外な結果ではなく、この表面層とフィルムのバルクとの測
定可能な誘電率の差と一致している。この表面をエッチングによって除去すると
、フィルムの残部は、表面層を含むフィルム全体と比較して、誘電率が小さくな
る(薄くなった厚さのために調節した)。更に、この炭素が減少した表面を有す
るフィルム全体は、FTM処理したフィルムと比較して小さいk値を有する。
【0070】 湿式エッチング速度実験は、水素プラズマ処理が上側表面で開始して、フィル
ム中に進行することを示した。水素プラズマ処理をされたフィルムは、FTM処
理をされたフィルムと比較してかなりゆっくりと湿式エッチングされ、従ってプ
ラズマ処理をされた時間の増加と共に、処理深さが増加する明らかな証拠を提供
する。
【0071】 水素プラズマ処理は、フィルム中のSi−CH3を、Si−CH2−Siで効果
的に置換し(水素イオン及びラジカルが参加する中間反応による)、増加したS
i−Si結合が、屈折率を増加させる原因となると考えられる。
【図面の簡単な説明】
【図1】 図1は、本発明で使用する装置の概略図である。
【図2】 図2は、堆積させたままの及び焼きなまし処理を行った本発明のフィルムに関
する、フーリエ変換赤外(FTIR)スペクトルである。
【図3a】 図3aは、本発明の焼きなまし処理をされたフィルムの、走査型電子顕微鏡写
真である。
【図3b】 図3bは、本発明の焼きなまし処理をされたフィルムの、走査型電子顕微鏡写
真である。
【図4】 図4は、酸素なしで行った第1のプロセスに関するフーリエ変換赤外(FTI
R)スペクトルである。
【図5】 図5は、酸素を伴って行ったプロセスに関する同じ(FTIR)スペクトルで
ある。
【図6】 図6は、CHDMMSのための標準輸送系を使用した初期実験結果を示す表で
ある。
【図7】 図7は、CHDMMSを輸送するためにシリンジポンプを使用したときの実験
結果を示す表である。
【図8】 図8は、図7で示されたある種の実験に関するFTIRスペクトルである。
【図9】 図9は、図7で示されたある種の実験に関するFTIRスペクトルである。
【図10】 図10は、図7で示されたある種の実験に関するFTIRスペクトルである。
【図11】 図11は、焼きなまし処理(FTM)プロセスの後の、及び焼きなまし処理を
行わない場合のH2プラズマ処理の後の、TMSから作ったフィルムのFTIR
プロットを示している。
【図12】 図12は、FTMプロセス、5分間のH2プラズマ、及び10分間のH2プラズ
マが、TMSに基づくフィルムの厚さ及び屈折率に与える影響を示すプロットの
グラフである。
【図13】 図13は、FTMで処理したTMS+O2で堆積させたフィルムへの、酸化除
去(ストリッピング)の影響を示す相対的な棒グラフである。
【図14】 図14は、5分間のH2プラズマで処理したTMS+O2で堆積させたフィルム
への、酸化除去の影響を示す相対的な棒グラフである。
【図15】 図15は、10分間のH2プラズマで処理したTMS+O2で堆積させたフィル
ムへの、酸化除去の影響を示す相対的な棒グラフである。
【図16】 図16は、様々なフィルム形成後処理の後に行う酸化除去の前及び後での、フ
ィルム応力値を説明している。
【図17】 図17は、様々な形成後処理の後の、TMSフィルムの誘電率を示している。
【図18】 図18は、FTM、5分間、10分間、及び30分間のH2プラズマによって
処理された層の誘電率を、1対の基材に関して比較する棒グラフである。
【図19】 図19は、5分間のH2プラズマによって硬化されたTMS+O2で堆積させた
フィルムに関するSIMSプロットである。
───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 9922691.2 (32)優先日 平成11年9月25日(1999.9.25) (33)優先権主張国 イギリス(GB) (31)優先権主張番号 9922801.7 (32)優先日 平成11年9月28日(1999.9.28) (33)優先権主張国 イギリス(GB) (31)優先権主張番号 0000780.7 (32)優先日 平成12年1月14日(2000.1.14) (33)優先権主張国 イギリス(GB) (81)指定国 CN,DE,GB,JP,KR, US (72)発明者 ドブソン,クリストファー デイビッド イギリス国,ビーエス35 3エーエー,ブ リストル,エルバートン,エルバートン マナー (72)発明者 マックネイル,ジョン イギリス国,シーエフ4 4ビーエス,カ ーディフ,ロース,ヒースウッドロード 254 (72)発明者 ウィルビー,アントニー ポール イギリス国,ビーエス37 7ワイジェイ, ブリストル,イエイト,ヘイ リーズ 55 Fターム(参考) 4K030 AA11 AA14 BA27 BA29 BA48 CA04 EA04 JA01 JA10 JA20 5F045 AA08 AB32 AC07 AC11 AC15 AD04 AE21 AF03 BB16 DC63 EE14 EE17 EF05 EH19 HA11 5F058 BA20 BC02 BF07 BF27 BF37 BF39 BH01 BH16 BJ02

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 (a)容器内の支持体に基材を配置すること、 (b)気体又は蒸気のケイ素含有有機化合物及び酸化剤を、プラズマの存在下
    で前記容器に供給し、前記基材上にフィルムを堆積させること、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
    ること、 を含む、基材上にフィルムを形成する方法。
  2. 【請求項2】 前記酸化剤が酸素である、請求項1に記載の方法。
  3. 【請求項3】 前記ケイ素含有有機化合物がアルキルシランである、請求項
    1又は2に記載の方法。
  4. 【請求項4】 前記ケイ素含有有機化合物がテトラアルキルシランである、
    請求項1〜3のいずれかに記載の方法。
  5. 【請求項5】 前記ケイ素含有有機化合物がテトラメチルシランである、請
    求項4に記載の方法。
  6. 【請求項6】 前記ケイ素含有有機化合物がメチルシランである、請求項1
    又は2に記載の方法。
  7. 【請求項7】 前記ケイ素含有有機化合物がシクロヘキシルジメトキシメチ
    ルシランである、請求項3に記載の方法。
  8. 【請求項8】 低温支持体上に配置された基材に、前記フィルムを堆積させ
    る、請求項1〜7のいずれかに記載の方法。
  9. 【請求項9】 前記支持体の温度が約0℃〜約60℃である、請求項6に記
    載の方法。
  10. 【請求項10】 前記支持体が約30℃である、請求項6又は7に記載の方
    法。
  11. 【請求項11】 前記フィルムの堆積の間にプラズマを提供することを更に
    含む、請求項1〜10のいずれかに記載の方法。
  12. 【請求項12】 前記硬化したフィルムの誘電率が約2.55又はそれ未満
    である、請求項1〜11のいずれかに記載の方法。
  13. 【請求項13】 (a)容器内の支持体に基材を配置すること、 (b)気体又は蒸気のテトラメチルシラン及び酸素を、プラズマの存在下で前
    記容器に供給して、前記基材上にフィルムを堆積させること、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
    ること、 を含む、基材上にフィルムを形成する方法。
  14. 【請求項14】 前記基材支持体の反対側の電極に接続された高周波出力源
    からプラズマを提供することを更に含む、請求項1〜13のいずれかに記載の方
    法。
  15. 【請求項15】 前記プラズマの適用の間に、前記基材支持体がDC接地さ
    れている、請求項1〜14のいずれかに記載の方法。
  16. 【請求項16】 先に焼きなまし又は加熱工程を行わずに前記フィルムをH 2 含有プラズマに露出させることによって、フィルムを硬化させる、請求項1〜
    15のいずれかに記載の方法。
  17. 【請求項17】 前記H2含有プラズマが実質的にH2プラズマのみである、
    請求項16に記載の方法。
  18. 【請求項18】 前記H2含有プラズマ処理が30秒〜30分間で終了する
    、請求項16又は17に記載の方法。
  19. 【請求項19】 前記H2含有プラズマ処理が1分〜10分間で終了する、
    請求項16又は17に記載の方法。
  20. 【請求項20】 前記H2含有プラズマ処理工程が5分間以下で終了する、
    請求項16又は17に記載の方法。
  21. 【請求項21】 前記H2含有プラズマ処理工程が10分間以下で終了する
    、請求項16又は17に記載の方法。
  22. 【請求項22】 前記水素含有プラズマを加熱と同時に適用する、請求項1
    6に記載の方法。
  23. 【請求項23】 前記基材を約400℃まで加熱する、請求項22に記載の
    方法。
  24. 【請求項24】 添付の図及び例を参照して本明細書の記載で実質的に説明
    されている方法。
  25. 【請求項25】 前記フィルムの硬化が、堆積させたままのフィルムのFT
    IRスペクトルから水及び/又はOHのピークを実質的になくす、請求項1に記
    載の方法。
  26. 【請求項26】 (a)容器内に配置された基材のための支持体、 (b)気体又は蒸気のケイ素含有有機化合物及び酸化剤を、プラズマの存在下
    で前記容器に供給し、前記基材上にフィルムを堆積させる手段、並びに (c)炭素含有基がフィルム内に保持されるようにして、フィルムを硬化させ
    る手段、 を含む、基材上にフィルムを形成する装置。
  27. 【請求項27】 前記基材への前記フィルムの堆積の均一性を改良するため
    の手段を更に含む、請求項26に記載の装置。
  28. 【請求項28】 前記均一性を改良するための手段がシャワーヘッドの周囲
    に配置されている、請求項27に記載の装置。
  29. 【請求項29】 添付の図で説明されている及び添付の図を参照して本明細
    書の記載で実質的に説明される装置。
JP2001506599A 1999-06-26 2000-06-26 基材上にフィルムを形成する方法及び装置 Pending JP2003503849A (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
GB9914879.3 1999-06-26
GBGB9914879.3A GB9914879D0 (en) 1999-06-26 1999-06-26 Method and apparatus for forming a film on a substrate
GB9922693.8 1999-09-25
GB9922691A GB2354528B (en) 1999-09-25 1999-09-25 Delivery of liquid precursors to semiconductor processing reactors
GB9922691.2 1999-09-25
GBGB9922693.8A GB9922693D0 (en) 1999-09-25 1999-09-25 Method and apparatus for forming a film on a substrate
GBGB9922801.7A GB9922801D0 (en) 1999-09-28 1999-09-28 A method of processing a polymer layer
GB9922801.7 1999-09-28
GB0000780A GB0000780D0 (en) 2000-01-14 2000-01-14 A method of processing a polymer layer
GB0000780.7 2000-01-14
PCT/GB2000/002301 WO2001001472A1 (en) 1999-06-26 2000-06-26 Method and apparatus for forming a film on a substrate

Publications (1)

Publication Number Publication Date
JP2003503849A true JP2003503849A (ja) 2003-01-28

Family

ID=27515905

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001506599A Pending JP2003503849A (ja) 1999-06-26 2000-06-26 基材上にフィルムを形成する方法及び装置

Country Status (7)

Country Link
US (1) US7309662B1 (ja)
JP (1) JP2003503849A (ja)
KR (1) KR20010072415A (ja)
CN (1) CN1185694C (ja)
DE (1) DE10081808T1 (ja)
GB (1) GB2355992B (ja)
WO (1) WO2001001472A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329718A (ja) * 2001-04-06 2002-11-15 Asm Japan Kk 半導体基板上のシロキサン重合体膜及びその製造方法
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
JP2007158000A (ja) * 2005-12-05 2007-06-21 Tokyo Electron Ltd 成膜方法、多層配線構造、半導体装置、コンピュータ可読記録媒体
JP2008130575A (ja) * 2006-11-16 2008-06-05 Tri Chemical Laboratory Inc 膜形成材料および膜形成方法
US7771796B2 (en) 2004-11-09 2010-08-10 Tokyo Electron Limited Plasma processing method and film forming method
JP2012169658A (ja) * 2006-10-16 2012-09-06 Applied Materials Inc Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
AU2814000A (en) 1999-02-26 2000-09-14 Trikon Holdings Limited A method of processing a polymer layer
DE10081808T1 (de) 1999-06-26 2002-11-07 Trikon Holdings Ltd Verfahren und Vorrichtung zur Ausbildung eines Filmes auf einem Substrat
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
GB0117600D0 (en) * 2001-07-19 2001-09-12 Trikon Holdings Ltd Semiconductor structure
GB2393189B (en) 2001-07-19 2005-06-15 Trikon Holdings Ltd Depositing a tantalum film
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
TW200518831A (en) * 2003-09-17 2005-06-16 Tokyo Electron Ltd Production of insulating film with low dielectric constant
CN101310370A (zh) * 2006-01-13 2008-11-19 东京毅力科创株式会社 多孔质膜的成膜方法和计算机可读的记录介质
KR101379646B1 (ko) * 2009-12-09 2014-03-28 가부시키가이샤 알박 유기 박막의 성막 장치 및 유기 재료 성막 방법
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3223040A (en) 1962-04-09 1965-12-14 Stewart Warner Corp Two component pumping and proportioning system
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
DE2900200A1 (de) 1979-01-04 1980-07-17 Bosch Gmbh Robert Messonde mit schutzschicht und verfahren zur herstellung einer schutzschicht auf einer messonde
US4793524A (en) 1981-04-30 1988-12-27 American Monitor Corporation Integrated reagent container and metered dispenser means
JPS5884826A (ja) 1981-11-16 1983-05-21 Agency Of Ind Science & Technol 帯電防止性合成樹脂成形品の製造方法
US4822632A (en) 1985-05-16 1989-04-18 Becton, Dickinson And Company Ionizing plasma lubricant method
KR870000750A (ko) 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
DE3624467A1 (de) 1986-07-19 1988-01-28 Leybold Heraeus Gmbh & Co Kg Verfahren zum herstellen transparenter schutzschichten aus siliziumverbindungen
JP2632879B2 (ja) 1987-11-17 1997-07-23 東京応化工業株式会社 シリコーン系被膜の形成方法
US4885001A (en) 1988-06-03 1989-12-05 Cobe Laboratories, Inc. Pump with plural flow lines
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US5098741A (en) 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
JP2876545B2 (ja) 1990-10-24 1999-03-31 キヤノン株式会社 光受容部材
US5095938A (en) 1990-12-21 1992-03-17 Millipore Corporation Injector for fluid delivery system
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5195655A (en) 1991-05-24 1993-03-23 Motorola, Inc. Integrated fluid dispense apparatus to reduce contamination
US5371828A (en) 1991-08-28 1994-12-06 Mks Instruments, Inc. System for delivering and vaporizing liquid at a continuous and constant volumetric rate and pressure
DE4202561A1 (de) 1992-01-30 1993-08-05 Boehringer Mannheim Gmbh Vorrichtung zum dosierten zufuehren einer analysefluessigkeit
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
US5534069A (en) 1992-07-23 1996-07-09 Canon Kabushiki Kaisha Method of treating active material
US5641559A (en) 1992-10-23 1997-06-24 Toyo Seikan Kaisha, Ltd. Gas-tight laminated plastic film containing polymer of organosilicic compound
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
GB2280169B (en) 1993-07-13 1996-10-16 Bayer Ag Device for transferring and metering a flowable agricultural chemical from a reusable container into a tank
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP3281209B2 (ja) 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
AUPN182995A0 (en) 1995-03-20 1995-04-13 Allstate Technology Pty Ltd Dispenser
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JP3601153B2 (ja) 1995-12-27 2004-12-15 東京エレクトロン株式会社 処理ガス供給装置のクリーニング方法
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2001504989A (ja) 1996-08-24 2001-04-10 トリコン エクウィプメンツ リミテッド 平坦化された誘電層を半導体基板上に堆積させるための方法及び装置
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JPH10310866A (ja) * 1997-05-12 1998-11-24 Shin Etsu Chem Co Ltd SiO2膜の製造方法
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
AU2814000A (en) 1999-02-26 2000-09-14 Trikon Holdings Limited A method of processing a polymer layer
DE10081808T1 (de) 1999-06-26 2002-11-07 Trikon Holdings Ltd Verfahren und Vorrichtung zur Ausbildung eines Filmes auf einem Substrat

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329718A (ja) * 2001-04-06 2002-11-15 Asm Japan Kk 半導体基板上のシロキサン重合体膜及びその製造方法
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
KR100900587B1 (ko) 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US7662728B2 (en) 2003-11-11 2010-02-16 Tokyo Electron Limited Substrate processing method
US7771796B2 (en) 2004-11-09 2010-08-10 Tokyo Electron Limited Plasma processing method and film forming method
JP2007158000A (ja) * 2005-12-05 2007-06-21 Tokyo Electron Ltd 成膜方法、多層配線構造、半導体装置、コンピュータ可読記録媒体
JP2012169658A (ja) * 2006-10-16 2012-09-06 Applied Materials Inc Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2008130575A (ja) * 2006-11-16 2008-06-05 Tri Chemical Laboratory Inc 膜形成材料および膜形成方法

Also Published As

Publication number Publication date
WO2001001472A1 (en) 2001-01-04
CN1316099A (zh) 2001-10-03
CN1185694C (zh) 2005-01-19
US7309662B1 (en) 2007-12-18
GB2355992A (en) 2001-05-09
GB0102179D0 (en) 2001-03-14
GB2355992B (en) 2004-06-02
KR20010072415A (ko) 2001-07-31
DE10081808T1 (de) 2002-11-07

Similar Documents

Publication Publication Date Title
JP2003503849A (ja) 基材上にフィルムを形成する方法及び装置
US7718553B2 (en) Method for forming insulation film having high density
US7049247B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US5521126A (en) Method of fabricating semiconductor devices
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
US7259111B2 (en) Interface engineering to improve adhesion between low k stacks
US6251807B1 (en) Method for improving thickness uniformity of deposited ozone-teos silicate glass layers
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7622400B1 (en) Method for improving mechanical properties of low dielectric constant materials
KR20080107270A (ko) 무기 실라잔계 유전체 막의 제조 방법
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
KR20140010449A (ko) 손상된 저 k 필름들의 복구 및 기공 밀봉을 위한 자외선 보조형 시릴화
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
KR20100069603A (ko) Pecvd 유동성 유전체 갭 충전
US6429147B2 (en) Method for making an insulating film
JPH09246263A (ja) 非晶質炭素膜及びその製造方法及び半導体装置
US20100087062A1 (en) High temperature bd development for memory applications
EP3922750A2 (en) Method of deposition
JPH09223693A (ja) シリコン化合物系絶縁膜の成膜方法
US7202167B2 (en) Method of forming a diffusion barrier
JPH0831817A (ja) 有機Siソースを用いた成膜方法、同成膜装置、及び半導体装置の製造方法
KR20210082265A (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름
JPH07235530A (ja) 絶縁膜の形成方法
JPH098029A (ja) フッ素を含有する絶縁膜及びその形成方法