TW202212256A - 低應力含碳層的沉積 - Google Patents

低應力含碳層的沉積 Download PDF

Info

Publication number
TW202212256A
TW202212256A TW110128483A TW110128483A TW202212256A TW 202212256 A TW202212256 A TW 202212256A TW 110128483 A TW110128483 A TW 110128483A TW 110128483 A TW110128483 A TW 110128483A TW 202212256 A TW202212256 A TW 202212256A
Authority
TW
Taiwan
Prior art keywords
substrate
precursor
substrate processing
plasma
hydrocarbon
Prior art date
Application number
TW110128483A
Other languages
English (en)
Other versions
TWI794915B (zh
Inventor
王慧圓
里克 庫斯卓
波 戚
亞伯希吉特巴蘇 馬禮克
庫許克 阿拉亞里
傑伊D 平森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202212256A publication Critical patent/TW202212256A/zh
Application granted granted Critical
Publication of TWI794915B publication Critical patent/TWI794915B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本技術的實例包括半導體製造方法,所述方法於基板製程腔室的基板處理區域中提供基板,其中基板維持在低於或約50°C之溫度下。可將惰性前驅物及含烴前驅物流入基板製程腔室的基板處理區域內,其中惰性前驅物對含烴前驅物之流速比可為大於或約10:1。可從惰性前驅物及含烴前驅物產生電漿,且可從電漿沉積含碳材料於基板上。含碳材料可包括類鑽石碳,且可具有大於或約60%之具sp 3混成鍵的碳原子。

Description

低應力含碳層的沉積
此申請案主張於2020年8月7日提申之名稱為「DEPOSITION OF LOW-STRESS CARBON- CONTAINING LAYERS」之美國專利申請案第16/987,666號之優先權,所述美國專利申請案的全文以引用方式併入本文。
本技術與用於半導體處理之方法及系統有關。更具體而言,本技術與用於生產低應力含碳層或半導體基板之系統及方法有關。
透過在基板表面上生產錯綜複雜圖案化的材料層之製程,可製作積體電路。在基板上產生經圖案化材料需要用於形成並去除材料之受控方法。隨著元件尺寸持續減小,膜特性可能會對元件性能產生更大的影響。用於形成材料層之材料可能會影響所生產之元件的操作特性。隨著材料厚度持續減小,膜的剛沉積的特性可對元件性能產生更大的影響。
因此,需要可用於產生高品質裝置及結構之改良的系統及方法。本技術可滿足這些及其他需求。
本技術的實施例包括半導體製造方法,所述方法可包括:於基板製程腔室的基板處理區域中提供基板,其中基板維持在低於或約50°C之溫度下。所述方法可進一步包括:將惰性前驅物及含烴前驅物流入基板製程腔室的基板處理區域內,其中惰性前驅物對含烴前驅物之流速比為大於或約10:1。可從惰性前驅物及含烴前驅物產生電漿,且可從電漿沉積含碳材料於基板上。
在示範實施例中,可在低於或約50每分鐘標準立方公分(sccm)之流速下流入含烴前驅物。範例含烴前驅物可包括乙炔,還有其他烴。可在高於或約1000 sccm的流速下流入惰性前驅物,且範例惰性前驅物可包括氦及氬。含烴前驅物及惰性前驅物可一起進入基板製程腔室的基板處理區域中,以形成電漿,特徵在於:小於或約100毫托的壓力。可藉由從功率源將偏壓功率輸送至基板,以使來自電漿之電漿流出物朝向基板加速。偏壓功率可大於2000瓦。基板表面上之剛沉積的含碳材料之特徵可在於小於或約-500 MPa的應力。
半導體製造方法的額外實施例可包括:於基板製程腔室的基板處理區域中提供基板,其中基板維持在低於或約50°C之溫度下。可從功率源將偏壓功率輸送至基板,其中偏壓功率大於3000瓦。所述方法可進一步包括:在基板製程腔室的基板處理區域中,從沉積前驅物產生電漿,所述沉積前驅物包含有含烴前驅物,以及從電漿沉積含碳材料於基板上。
在示範實施例中,從功率源輸送至基板之偏壓功率可大於或約4000瓦,且特徵可在於小於或約13.56 MHz的操作頻率。沉積前驅物可進一步包括:與含烴前驅物結合之惰性前驅物。惰性前驅物之流速可大於含烴前驅物之流速,且惰性前驅物對含烴前驅物之流速比可大於或約10:1。
半導體製造方法的其他額外實施例可包括:在基板製程腔室的基板處理區域中,從含烴前驅物產生電漿,以及在基板製程腔室的基板處理區域中,從電漿沉積含碳材料於基板上。含碳材料的特徵可在於:小於或約-500 MPa之一剛沉積的應力(as-deposited stress)。
在示範實施例中,基板的特徵可在於:含碳材料沉積期間之低於或約50 °C的溫度。也可從惰性前驅物產生電漿,惰性前驅物可包括氦或氬中之至少一者。惰性前驅物對含烴前驅物之流速比可為大於或約10:1。剛沉積的含碳材料可包括大於或約60%之具有sp 3混成(hybridization)的碳原子,及小於或約25莫耳%的氫。在示範實施例中,剛沉積的含碳材料可為類鑽石碳。
這樣的技術可相對於習用系統和技術提供許多益處。舉例而言,本技術的實施例生成之具有低應力之剛沉積的碳硬遮罩不會顯著彎折或扭曲相鄰的基板特徵。此外,實施例包括低應力的類鑽石碳層,其具有高模數及高均勻性。結合以下描述和附圖更詳細地描述這些和其他實施例以及它們的諸多優點及特徵。
本技術包括用於在半導體基板上沉積含碳材料的低應力層之系統及製程方法。這些系統及方法之實施例解決了在深度增加和寬度變窄之基板特徵中和周圍形成這些材料之問題。沉積含碳材料(如含碳硬遮罩)之習用系統及方法產生具有顯著的應力量之層(如,小於或約-1000 MPa的應力水平,其中越負的應力值代表材料發揮的壓縮力越大)。由於這些高應力材料沉積在可能已具有高應力特性之表面特徵上方,因此可能發生進一步的問題。舉例而言,在一個範例情況中,可將碳硬遮罩膜形成於3D NAND佔位結構(placeholder structure)上方以促進記憶體空洞(memory hole)形成、供觸點形成所用之階梯結構或其他處理。3D NAND堆疊對之特性可為來自許多單元材料層對之高應力,且傳入之基板可能已經顯現出壓縮彎曲。隨著3D NAND堆疊成對增加,為了蝕刻穿過更大的堆疊,可能使用更厚的硬遮罩。然而,隨著遮罩厚度增加,升高的應力可能導致結構彎折、遮罩破裂及元件最終失效。因此,許多習用遮罩材料無法適應先進記憶體結構之處理。
藉由取代或去除含碳層(所述含碳層作為基板中或上之元件特徵的圖案化及蝕刻期間之硬遮罩)來減輕應力是有問題的。通常將含碳硬遮罩沉積在下方基板材料與上方能量敏感抗性層(如,光阻層)之間。硬遮罩對用以根據能量敏感抗性層中產生之圖案在下方基板材料中形成特徵之蝕刻劑提供選擇性抵抗。若去掉硬遮罩層,則蝕刻劑會攻擊欲保持完整之基板特徵部分,導致特徵的侵蝕及可能的破壞。
本技術藉由提供能在基板上沉積低應力含碳材料之系統及製程方法,來解決這些問題,也解決其他問題,所述低應力含碳材料可作為含碳硬遮罩,也可有其他功能。所述系統及方法的實施例藉由維持一或多種製程參數來來沉積低應力含碳材料,所述製程參數聯合操作以減少氫併入、改良碳鍵結結構並降低薄膜應力。以下描述這些參數中的一些,包括基板溫度、有助於形成沉積電漿之惰性前驅物對含烴前驅物之流速比,及用於產生沉積電漿之偏壓功率,還有其他製程參數。
本技術可增進具sp 3混成的碳原子及具有低氫量的材料之沉積。增加的具sp 3混成的碳原子之量提升了共價交聯的碳-碳單鍵之網路,這可使剛沉積的材料具有類鑽石碳(DLC)層之特性。反觀有利於具sp 2混成的碳之材料可能使剛沉積的層具有更多類石墨碳特徵(graphite-like-carbon characteristics)。相較於類石墨碳,類鑽石碳具有更多碳-碳鍵結長度及強度之同向性分佈,其中平面內鍵結(in-plane bond)比平面外之正交鍵結更短且更強。結果使得所沉積之類鑽石碳層的應力低於類石墨碳層。因此,除了其他特徵外,本技術還允許沉積低應力含碳層,所述低應力含碳層可作為深而窄的基板間隙中之硬遮罩而不會導致相鄰基板特徵(如,膜堆疊)之彎折、破裂及失效。
儘管其餘揭示內容將常規地利用所揭示之技術來標示具體沉積製程,但將可容易理解到,所述系統和方法同樣適用於其他沉積及處理製程,而所述製程可發生在本文所述之腔室或任何其他腔室中。因此,可在各種化學氣相沉積腔室中實施本技術,且不應被視為僅限於與這些特定的沉積製程或腔室單獨使用。在描述根據本技術的實施例之對所述系統的額外變化和調整之前,本揭示內容將討論一種可能的系統和腔室,其可用於進行根據本技術的一些實施例之製程方法。
第1圖顯示根據實施例之沉積、蝕刻、烘烤及硬化腔室之處理系統100的一個實施例之頂部平面視圖。在圖式中,一對前開式統一傳送盒102供應各種尺寸的基板,所述基板由機械手臂104接收並放置入低壓保持區106內,接著將所述基板放置在基板製程腔室108a至108f中之一者內,所述基板製程腔室108a至108f安置在串聯區塊109a至109c中。可使用第二機械手臂110將基板晶圓從保持區106運送至基板製程腔室108a至108f並返回。可裝配各基板製程腔室108a至108f以進行數個基板處理操作,包括本文所述之半導體材料的堆疊之形成,還有電漿增進化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清潔、脫氣、定向及其他基板製程(包括退火、灰化等等)。
基板製程腔室108a至108f可包括用於沉積、退火、硬化及/或蝕刻基板上之介電膜或其他膜之一或多個系統部件。在一種配置中,製程腔室之兩對製程腔室,如,108c及108d和108e及108f,可用於將介電材料沉積在基板上,且製程腔室之第三對製程腔室,如,108a及108b,可用於蝕刻所沉積之介電質。在另一種配置中,所有三對腔室,如,108a至108f,可經配置以將交替的介電膜之堆疊沉積於基板上。可在不同的實施例中所示之與製造系統分開的腔室中進行本文所述之製程中之任何一或多者。將可理解到,可思及將系統100用於介電膜之沉積、蝕刻、退火和硬化腔室之其他配置。
第2A及2B圖顯示根據本技術的一些實施例之範例半導體處理系統232及280的示意剖面視圖。該等圖式可圖解系統的概觀,所述系統可結合本技術之一或多個態樣,及/或可被具體配置以進行根據本技術之實施例的一或多個操作。在下文中可進一步描述系統232及280及所進行之方法的額外特徵。根據本技術的一些實施例,可利用系統232及280來形成含碳層(如碳硬遮罩),儘管可理解到可類似地在其中可發生層形成之任何系統中進行所述方法。
現請參見第2A圖,半導體處理系統232包括半導體製程腔室200,半導體製程腔室200可包括頂壁224、側壁201及底壁222,頂壁224、側壁201及底壁222界定基板處理區域226。氣體控制板230及控制器210可耦接製程腔室200。可在製程腔室200的基板處理區域226中提供基板支撐組件246。
基板支撐組件246可包括由軸桿260支撐之靜電吸盤250。可由鋁、陶瓷及其他合適的材料(如不鏽鋼)製成靜電吸盤250。可使用位移機構(未示出),在製程腔室200內之垂直方向上移動靜電吸盤250。可將溫度感測器272(如熱偶)嵌入靜電吸盤250中,以監測靜電吸盤250的溫度。控制器210可使用測得之溫度對供給加熱器單元270之功率進行控制,以將基板維持在期望的溫度下。
真空泵202可耦接至形成在製程腔室200的底部中之埠。可使用真空泵202在製程腔室200中維持期望氣體壓力。真空泵202也從製程腔室200排出製程的處理後之氣體及副產物。
可將具有複數個孔228之氣體分佈組件220設置在製程腔室200的頂部,位於靜電吸盤250上方。氣體分佈組件220的孔228用於將製程氣體引入製程腔室200內。孔228可具有不同的尺寸、數量、分佈、形狀、設計和直徑,以促進用於不同製程需求之各種製程氣體之流動。氣體分佈組件220連接至氣體控制板230,氣體控制板230允許各種氣體在處理期間流至處理空間226。由離開氣體分佈組件220之製程氣體混合物形成電漿,以增進製程氣體之熱分解,導致材料沉積在位於靜電吸盤250上之基板290的頂表面291上。
氣體分佈組件220和靜電吸盤250可在處理空間226中形成一對間隔開的電極。一或多個RF功率源240透過可選的匹配網路238將偏壓電位提供至氣體分佈組件220,以有助於在氣體分佈組件220與靜電吸盤250之間產生電漿。或者,RF功率源240及匹配網路238可耦接至氣體分佈組件220、靜電吸盤250,或耦接至氣體分佈組件220和靜電吸盤250二者,或耦接至設置於製程腔室200外部之天線(未示出)。在一些實施例中,RF功率源240可生成以下頻率之功率:大於或約100 KHz、大於或約500 KHz、大於或約1MHz、大於或約10 MHz、大於或約20 MHz、大於或約50 MHz、大於或約100 MHz還有其他頻率範圍。由RF功率源240生成之功率的頻率之具體實例包括:350 KHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz、100 MHz及162 MHz還有其他頻率。
控制器210包括中央處理單元(CPU)212、記憶體216及輔助電路214,以用於控制製程順序並調節來自氣體控制板230的氣流。CPU 212可以是可用於工業環境中之任何形式之通用電腦處理器。軟體常式可儲存在記憶體216中,例如隨機存取記憶體、唯獨記憶體、軟碟或硬碟或其他形式的數位儲存器。輔助電路214耦接至CPU 212且可包括快取、時脈電路、輸入/輸出系統、電源及諸如此類者。透過各種訊號纜線(統稱為訊號匯流排218)操縱控制器210與基板處理系統232之各種部件之間的雙向通訊,第2A圖中示出部分訊號纜線。
第2B圖描繪可用於實行本文所述實施例之另一個基板處理系統280的示意性剖面視圖。除了基板處理系統280被配置為使來自氣體控制板230之處理氣體經由側壁201徑向流動通過基板290的頂表面291之外,基板處理系統280類似於第2A圖的基板處理系統232。此外,以電極282取代第2A圖中描繪之氣體分佈組件220。電極282可經配置用於產生二次電子(secondary electron)。在一個實施例中,電極282為含矽電極。
第3圖描繪可用在系統的實施例中之基板支撐組件346之示意性剖面視圖。基板支撐組件346可包括靜電吸盤350,靜電吸盤350可包括加熱器單元370,加熱器單元370適用於控制靜電吸盤350的上表面392上所支撐之基板390的溫度。加熱器單元370可嵌入靜電吸盤350中。可藉由從加熱器功率源306施加電流至加熱器單元370而電阻式地加熱靜電吸盤350。可透過RF濾波器316耦接加熱器功率源306,以保護加熱器功率源306不受RF能量影響。由控制器310調控從加熱器功率源306供應之電流,以控制由加熱器單元370產生的熱量,從而在膜沉積期間將基板390及靜電吸盤350維持在實質上恆定的溫度下。在將含碳膜沉積於基板上之期間,可調整供應的電流以將靜電吸盤350的溫度選擇性地控制在約20 °C至約350 °C之間。也可將冷卻單元(未示出)熱耦接至基板支撐組件346,以將基板390的溫度選擇性地控制在介於約-50 °C至約20 °C之間的溫度。
在一些實施例中,靜電吸盤350包括吸附電極410,吸附電極410可為導電材料之篩網(mesh)。吸附電極410可嵌入靜電吸盤350中。吸附電極410耦接至吸附功率源412,當通電時,吸附電極410將基板390靜電式夾持至靜電吸盤350的上表面392。
吸附電極310可被配置為單極或雙極電極,或具有其他合適的佈置。吸附電極410可透過RF濾波器414耦接至吸附功率源412,吸附功率源412提供直流(DC)功率以用靜電方式將基板390固定至靜電吸盤350的上表面392。RF濾波器414防止用於在製程腔室內形成電漿之RF功率損壞電子設備。可由諸如AlN或Al 2O 3等陶瓷材料製成靜電吸盤350。
功率施加系統420耦接至基板支撐組件346。功率施加系統420可包括加熱器功率源306、吸附功率源412、第一射頻(RF)功率源430及第二RF功率源440。功率施加系統420的實施例可額外包括控制器310及感測器元件450,感測器元件450與控制器310及第一RF功率源430和第二RF功率源440二者通訊。為了在基板390上沉積材料層,控制器310可藉由從第一RF功率源430及第二RF功率源440施加RF功率,而用於控制來自處理氣體之電漿。
如上所述,靜電吸盤350包括吸附電極410,吸附電極410一方面可有吸附基板390的作用,同時也有作為第一RF電極的作用。靜電吸盤350也可包括第二RF電極460,且可與吸附電極410一起施加RF功率來調諧電漿。第一RF功率源430可耦接至第二RF電極460,同時第二RF功率源440可耦接至吸附電極410。可分別就第一RF功率源430及第二RF功率源440提供第一匹配網路及第二匹配網路。第二RF電極460可為導電材料之實心金屬板或導電材料之篩網(mesh)。
第一RF功率源430及第二RF功率源440可生成相同頻率或不同頻率下之功率。在一些實施例中,第一RF功率源430及第二RF功率源440中之一或二者可獨立地生成以下頻率下之功率:大於或約100 KHz、大於或約500 KHz、大於或約1MHz、大於或約10 MHz、大於或約20 MHz、大於或約50 MHz、大於或約100 MHz還有其他頻率範圍。由RF功率源430、440獨立地生成之功率的頻率之具體實例包括:350 KHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz、100 MHz及162 MHz還有其他頻率。為了調諧電漿,可變化來自第一RF功率源430及第二RF功率源440中之一或二者之RF功率。
第4圖顯示根據本技術的一些實施例之處理方法400中之範例操作。可在各種製程腔室(包括上文所述之處理系統232及280)中進行所述方法。方法300可包括在本文所記載之方法操作開始前之一或多個操作,包括前端處理、沉積、蝕刻、拋光、清潔或可在所述操作前進行之任何其他操作。所述方法可包括如圖所示之數個視情況的操作,其可與根據本技術之方法具體相關或無關。舉例而言,為了提供半導體製程之更廣範籌而描述了許多操作,但這些操作對技術而言並非關鍵,或者可藉由將於下文進一步描述之替代方法來進行。
方法400可涉及視情況的操作,以將半導體結構發展為特定製造操作。儘管在一些實施例中可於基底結構上進行方法400,但在一些實施例中,可在其他材料形成或移除後進行方法。舉例而言,可進行任何數目的沉積、遮蔽或移除操作,以於基板上生產任何電晶體、記憶體或其他結構態樣。在一些實施例中,形成於基板上之一或多個結構之特徵可在於低於或約500 °C、低於或約450 °C、低於或約400 °C或更低之熱預算(thermal budget)。因此,可在處於或低於結構性熱預算之溫度下進行方法400及任何後續操作。可將基板設置於基板支撐件上,基板支撐件可安置在半導體製程腔室的處理區域內。可在與可進行方法400的態樣之相同腔室中進行生產下層結構之操作,且亦可在一或多個腔室中進行一或多個操作,所述一或多個腔室位在可進行方法400的操作之腔室位處之類似平台上,或所述一或多個腔室可位在其他平台上。
在一些實施例中,方法400可包括:在基板製程腔室的基板處理區域中提供基板(405)。在基板製程腔室中,基板可具有低於或約50 °C的溫度。在一些實施例中,可藉由基板製程腔室中之基板支撐組件中之加熱器單元將基板溫度調整並維持在低至約20 °C。在額外的實施例中,可藉由將冷卻流體輸送至基板支撐組件之冷卻單元將基板溫度調整並維持在低於或約20 °C。額外的基板溫度範圍包括低於或約40 °C、低於或約30 °C、低於或約20 °C、低於或約10 °C、低於或約0 °C、低於或約-10 °C、低於或約-20 °C、低於或約-30 °C或更低。
範例基板材料可包括晶態矽(如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜的或未經摻雜的多晶矽、經摻雜的或未經摻雜的矽基板及經圖案化或未經圖案化的基板、絕緣體上矽 (SOI)、經碳摻雜的氧化矽、 氮化矽、經摻雜的矽、鍺、砷化鎵、玻璃及藍寶石,還有其他基板材料。基板可進一步包括基板特徵,如間隙、介層孔(via)、溝槽、階梯,還有其他類型的特徵。基板特徵可直接形成在基底基板中。替代或額外地,基板特徵可形成於沉積在基底基板上之絕緣、導電及/或半導電材料層中,所述層構成方法400期間之基板處理區域中之基板的一部分。範例基板可採用各種形狀,如圓形、矩形或正方形,且可具有例如,200 mm、300 mm或450 mm之直徑、側邊或對角線尺寸。
方法400可進一步包括:將前驅物流入含有基板之基板製程腔室的基板處理區域內(410)。前驅物可包括惰性前驅物及含烴前驅物。惰性前驅物對含烴前驅物之流速比可大於或約10:1,且可大於或約20:1、大於或約30:1、大於或約40:1、大於或約50:1或更大。用於惰性前驅物之範例流速範圍可包括:超過或約1000 sccm。用於惰性前驅物之額外範例流速範圍可包括:超過或約1500 sccm、超過或約2000 sccm、超過或約2500 sccm、超過或約3000 sccm、超過或約3500 sccm、超過或約4000 sccm、超過或約4500 sccm、超過或約5000 sccm或更大。用於含烴前驅物之範例流速範圍可包括:低於或約50 sccm。額外範例流速範圍可包括:低於或約100 sccm、低於或約75 sccm、低於或約40 sccm、低於或約30 sccm、低於或約20 sccm、低於或約10 sccm、低於或約5 sccm或更低。
已發現當結合高電漿功率時,在基板處理區域中以過量的惰性前驅物稀釋含烴前驅物在基板上形成應力水平降低之剛沉積的含碳材料層(as-deposited layer of carbon-containing material)。舉例而言,當與高電漿功率一起提供高度稀釋之前驅物時,可提升電漿密度,其包括更大量的自由基流出物,所述自由基流出物可有助於對剛沉積的層進行改質而不會結合到所述層內。藉由形成偏壓電漿(如從偏壓功率產生電漿而不是將偏壓施加至電容耦合式電漿),並藉由利用高偏壓功率,可在沉積期間提供升高的離子衝擊(ion impact)。當形成電漿之前驅物隨著更高電漿功率之施加而包括以惰性氣體進行更高度稀釋時,以高能惰性離子對沉積材料進行增加的轟擊可藉由增加氫去除和具有sp 3鍵結之碳的數量來減少剛沉積的材料之應力量。
在一些實施例中,供應至基板處理區域之前驅物可在基板製程腔室中產生並維持低於或約100毫托的處理壓力。額外的範例處理壓力範圍包括:低於或約1托、低於或約500毫托、低於或約50毫托、低於或約10毫托、低於或約5毫托、低於或約1毫托、低於或約0.1毫托或更低,還有其他壓力範圍。在一些實施例中,藉由降低處理壓力,可藉由增加原子間之平均自由徑(mean-free-path)來增加離子轟擊。這可能會增加碰撞之間的行進距離,而這可能會增加沉積的材料中之轟擊的能量和頻率。這可進一步有助於減少材料內之氫,並增加材料內之碳sp 3鍵結,並降低剛沉積的含碳層之應力水平。
範例惰性前驅物可包括氦、氬及氖中之至少一者。儘管在一些實施例中可利用任何數量的惰性前驅物,但利用氦可維持層內之減少的氫與氮結合,同時亦減少來自較大惰性前驅物(如,氬)之濺射成分。範例含烴前驅物可包括乙炔(C 2H 2)。額外的範例含烴前驅物可包括:具有通式C xH y之烴化合物,其中x的範圍介於1與20之間,且y的範圍介於1與20之間。合適的烴化合物包括,例如:C 3H 6、CH 4、C 4H 8、1,3-二甲基金剛烷、雙環[2.2.1]七-2,5-二烯(2,5-降冰片二烯)、金剛烷(adamantine) (C 10H 16)、降冰片烯(C 7H 10),還有其他烴化合物。在一些實施例中,可將碳對氫比維持在小於或約4:1、小於或約3:1、小於或約2:1、小於或約1:1或更小,這可進一步有助於在含碳材料之沉積期間限制氫併入。
方法400可進一步包括:在基板製程腔室的基板處理區域中,從前驅物產生電漿(415)。可藉由對基板處理區域中之前驅物施加RF偏壓功率來產生電漿。RF偏壓功率可大於2000瓦,且其特徵可在於以下頻率:從約350 KHz至約162 MHz(如,350 KHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz、100 MHz或162 MHz)。如前文所記載,本技術之一些實施例可生成處於高電漿功率下之偏壓電漿,以改良剛沉積的材料之膜特性。舉例而言,在一些實施例中,可在以下輸送功率下生成偏壓電漿:大於或約2500 W、大於或約3000 W、大於或約3500 W、大於或約4000 W、大於或約4500 W或更大。藉由增加電漿功率,這可與增加惰性前驅物輸送一起進行,可生成一定量的來自惰性前驅物之轟擊,這可對沉積材料進行改質而增進碳鍵結並減少氫併入。請參見上文提及之第3圖,在一些實施例中,可藉由靜電吸盤350將RF偏壓功率輸送至基板處理區域中之前驅物,其中從第二RF功率源440供應RF功率給靜電吸盤350。在額外的實施例中,也可由第二RF電極460供應全部或部分的RF偏壓功率,其中第二RF電極460與第一RF功率源430電子通訊,而第一RF功率源430供應偏電壓至第二RF電極460。第一RF功率源430可生成處於以下頻率之功率:從約350 KHz至約100 MHz(如,350 KHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz或100 MHz)。
在一些實施例中,操作415可進一步包含:施加第二RF偏壓功率至靜電吸盤,以獨立地控制離子密度和離子能量,以調節薄膜應力。第二RF偏壓功率可大於或約10瓦,且可具有大於或約350 KHz的頻率。請參見第3圖,在一些實施例中,透過吸附電極410將第二RF偏壓功率提供至基板391。吸附電極410可與第二RF功率源440電子通訊,而第二RF功率源440供應偏電壓至吸附電極410。可提供大於或約10瓦的功率之第二RF偏壓功率。在一些實施例中,可將RF偏壓功率及第二RF偏壓功率二者輸送至前驅物,以在操作415期間產生電漿。在額外實施例中,可透過吸附電極410將RF偏壓功率輸送至基板,且可透過第二RF電極460輸送第二RF偏壓功率。
於操作420,在基板製程腔室中,所產生的電漿於基板上沉積含碳材料。沉積之材料的量可為具有大於或約10 Å的平均厚度之層。額外範例平均厚度範圍可包括:大於或約50 Å、大於或約100 Å、大於或約1000 Å、大於或約5000 Å、大於或約10,000 Å、大於或約20,000 Å或更大。
可沉積低應力的含碳材料。低應力材料的特徵在於內部應力水平更接近中性應力(即,0 MPa)。反之,高應力材料的特徵在於內部應力水平顯著地大於0 MPa(即,高度正(拉伸)應力)或顯著地小於0 MPa(即,高度負(壓縮)應力)。高度正應力(可表徵為拉伸應力)可能由材料之膨脹所引起,材料之膨脹在相鄰的基板特徵上產生向外推力。高度負應力(可表徵為壓縮應力)可能由材料之收縮所引起,材料之收縮在相鄰的基板特徵上產生向內拉力。換言之,高應力材料的特徵可在於絕對值顯著地大於0 MPa的應力水平。因此,當材料的特徵在於「大於-1000 MPa」的應力水平時,這是指應力水平的絕對值,且包括如-1500 MPa、-2000 MPa等水平。類似地,當材料的特徵在於「小於-1000 MPa」的應力水平時,這是指更接近中性應力(即,0 MPa)之應力水平,且包括如-500 MPa、-100 MPa等水平,但不會擴展到大於或約1000 MPa的正值。
剛沉積的材料之範例應力值可包括小於或約-500 MPa或更小,其中更負的應力值意味著材料具有更大的應力,且接近0 MPa的應力值具有較小的應力。額外的範例應力值範圍可包括:小於或約-400 MPa、小於或約-300 MPa、小於或約-200 MPa、小於或約-100 MPa、小於或約-50 MPa及小於或約-10 MPa或更小。儘管不欲受到與剛沉積的材料有如此低應力水平的特徵之成因有關的任何特定理論束縛,但咸信材料中較高含量的sp 3混成碳(sp 3hybridized carbons),及材料中之較低量的氫,有助於形成低應力的含碳層。舉例而言,在一些實施例中, sp 3混成碳原子的百分比可包括:大於或約40%、大於或約50%、大於或約60%、大於或約70%、大於或約80%、大於或約90%或更大。此外,在一些實施例中,氫併入在沉積材料內的量可維持在小於或約30莫耳%,且可維持在小於或約25莫耳%、小於或約20莫耳%、小於或約15莫耳%、小於或約10莫耳%或更小。這些特性可有助於降低根據本技術之實施例所生產之材料內的壓縮應力。
材料中之高含量的sp 3混成碳可使其成為類鑽石碳材料。剛沉積的含碳材料之額外實施例包括非晶碳材料及含矽碳,還有其他類型的含碳材料。
本處理方法的實施例也可能影響沉積材料的楊氏模數。在一些實施例中,剛沉積的材料之特徵可在於:大於或約150 GPa之模數,且特徵可在於以下模數:大於或約160 GPa、大於或約170 GPa、大於或約180 GPa、大於或約190 GPa、大於或約200 GPa或更大。
方法400可視情況包括:對形成於基板上之剛沉積的含碳材料進行處理(425)。處理操作之實施例可包括:拋光、蝕刻、圖案化及硬化剛沉積的含碳材料層,還有其他類型的處理。在一些實施例中,含碳材料可在沉積期間及/或剛沉積在基板上時暴露於氫自由基。在一些實施例中,可在位於製程腔室和基板處理區域外部之遠端電漿系統(RPS)中產生氫自由基。接著將RPS產生的氫自由基輸送至基板製程腔室的基板處理區域,氫自由基可在基板處理區域與電漿流出物及/或沉積材料混合並反應。在一些實施例中,氫自由基與具有sp 2混成之碳反應,並將所述碳轉化成額外的sp 3混成碳。
方法400中描述之實施例形成了低應力含碳材料,所述低應力含碳材料可用作,例如,半導體元件製造中之硬遮罩層。剛沉積的層中之低應力允許所述層被沉積而不會在相鄰的(如,下方)基板結構上施加過大的應力,過大的應力可能導致彼等結構進一步變形,或因增加的應力而導致遮罩層破裂。含碳層也可具有出色的光學和蝕刻選擇性等性質,這些性質使得所述含碳層非常適合作為硬遮罩。
在前文描述中,出於解說之目的,已經闡述了諸多細節以便提供對本技術之各種實施例的理解。然而,對於本案所屬技術領域中具通常知識者將顯而易見的是,可在沒有這些細節中的某些細節或在有額外細節的情況下實踐某些實施例。
在已揭示若干實施例之後,本案所屬技術領域中具通常知識者將認識到,在不偏離實施例之精神的情況下可使用各種修改、替代構造及等效物。另外,為了避免不必要地混淆本技術,未描述若干已熟知的製程及元件。因此,上文描述不應視為限制本技術之範疇。
在提供一範圍之值之情況下,除非本文另有明確指定,應理解亦特定地揭示彼範圍之上限與下限之間的每一中間值,精確度為至下限單位的最小分位。將涵蓋在陳述範圍中之任一陳述值或未陳述的中間值與在彼陳述範圍中之任一其他陳述值或中間值之間的任何較窄範圍。此等較小範圍之上限及下限可獨立地包括於該範圍中或排除於該範圍之外,且在界限中任一者、沒有任一界限或兩界限皆包括於該等較小範圍中之每一範圍亦涵蓋於本技術內,所述每一範圍受所陳述範圍中任何特定排除之界限管轄。在所陳述範圍包括該等限制中一者或兩者之情況下,亦包括排除彼等包括之限制中一者或兩者之範圍。
如本文及隨附申請專利範圍中所使用,除非本文另有明確指定,否則單數形式「一(a)」、「一(an)」及「該(the)」包括複數參照。因此,例如,參照「一前驅物」包括複數個此類前驅物,且參照「該層」包括參照一或多種層及本案所屬技術領域中具通常知識者所知之等效物,等等。
又,當在本案說明書中及下文申請專利範圍中使用字彙「包含(comprise)」、「包含(comprising)」、「含有(contain)」「包括(include)」及「包括(including)」時,意欲指定陳述之特徵、整數、部件或操作之存在,但該等字彙不排除一或多個其他特徵、整數、部件、操作、動作或群組之存在或添加。
100:處理系統 102:前開式統一傳送盒 104:機械手臂 106:低壓保持區 108a~108f:製程腔室 109a~109c:串聯區塊 110:第二機械手臂 200:製程腔室 201:側壁 202:真空泵 210:控制器 212:中央處理單元(CPU) 214:輔助電路 216:記憶體 218:訊號匯流排 220:氣體分佈組件 222:底壁 224:頂壁 226:基板處理區域 228:孔 230:氣體控制板 232:基板處理系統 238:匹配網路 240:RF功率源 246:基板支撐組件 250:靜電吸盤 260:軸桿 270:加熱器單元 272:溫度感測器 280:基板處理系統 282:電極 290:基板 291:頂表面 306:加熱器功率源 310:控制器 346:基板支撐組件 350:靜電吸盤 370:加熱器單元 390:基板 391:基板 392:上表面 410:吸附電極 412:吸附功率源 414:RF濾波器 420:功率施加系統 430:第一RF功率源 440:第二RF功率源 450:感測器元件 460:第二RF電極 400:方法 405,410,415,420,425:操作
透過參考說明書的其餘部份及圖式,可進一步瞭解本文揭露之技術的本質與優點。
第1圖繪示根據本技術的一些實施例之範例處理系統的頂視圖。
第2A圖繪示根據本技術的一些實施例之範例半導體製程腔室的示意性剖面視圖。
第2B圖繪示根據本技術的一些實施例之另一個範例半導體製程腔室的示意性剖面視圖。
第3圖繪示根據本技術的一些實施例之範例基板支撐件及靜電吸盤的示意性剖面視圖。
第4圖繪示根據本技術的一些實施例之半導體製造方法中的操作。
以示意方式包括數個圖式。應理解到,該等圖式僅用於說明之目的,且除非特別說明是按比例繪示,否則不應被視為按比例繪示。此外,作為示意圖,該等圖式用於幫助理解,且相較於現實的表現,可能不包括所有態樣或資訊,且出於說明之目的,可能包括誇大的材料。
在附圖中,類似的部件及/或特徵可以具有相同的元件符號。進一步而言,同類的各部件可透過在元件符號後加上字母(該字母區別類似部件)加以區別。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號之任何一個相似部件,無論第二符號為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
405,410,415,420,425:操作

Claims (20)

  1. 一種半導體製造方法,包含以下步驟: 於一基板製程腔室的一基板處理區域中提供一基板,其中該基板維持在低於或約50°C之一溫度下; 將一惰性前驅物及一含烴前驅物流入該基板製程腔室的該基板處理區域內,其中該惰性前驅物對該含烴前驅物之一流速比為大於或約10:1; 從該惰性前驅物及該含烴前驅物產生一電漿;以及 從該電漿沉積一含碳材料於該基板上。
  2. 如請求項1所述之半導體製造方法,其中該含烴前驅物在低於或約50 sccm的一流速下流入。
  3. 如請求項1所述之半導體製造方法,其中該惰性前驅物在高於或約1000 sccm的一流速下流入。
  4. 如請求項1所述之半導體製造方法,其中該基板製程腔室維持在小於或約100毫托的一壓力下。
  5. 如請求項1所述之半導體製造方法,其中以大於或約10 Å的一平均厚度沉積該含碳材料。
  6. 如請求項1所述之半導體製造方法,其中該含烴前驅物包含乙炔。
  7. 如請求項1所述之半導體製造方法,其中該惰性前驅物包含氦或氬中之至少一者。
  8. 如請求項1所述之半導體製造方法,其中該電漿係在大於2000瓦的一偏壓功率下形成之一偏壓電漿。
  9. 如請求項1所述之半導體製造方法,其中該含碳材料的特徵在於:小於或約-500 MPa之一剛沉積的應力(as-deposited stress)。
  10. 一種半導體製造方法,包含以下步驟: 於一基板製程腔室的一基板處理區域中提供一基板,其中該基板維持在低於或約50°C之一溫度下; 在該基板製程腔室的該基板處理區域中,從一沉積前驅物產生一電漿,該沉積前驅物包含一含烴前驅物,其中該電漿係在大於或約3000 W的一偏壓功率下產生之一偏壓電漿;以及 從該電漿沉積一含碳材料於該基板上。
  11. 如請求項10所述之半導體製造方法,其中該偏壓功率大於或約4000瓦。
  12. 如請求項10所述之半導體製造方法,其中在小於或約13.56 MHz的一操作頻率下輸送該偏壓功率。
  13. 如請求項10所述之半導體製造方法,其中該沉積前驅物進一步包含一惰性前驅物。
  14. 如請求項13所述之半導體製造方法,其中該惰性前驅物對該含烴前驅物之一流速比係大於或約10:1。
  15. 一種半導體製造方法,包含以下步驟: 在該基板製程腔室的該基板處理區域中,從一含烴前驅物產生一電漿;以及 在基板製程腔室的基板處理區域中,從該電漿沉積一含碳材料於一基板上,其中該含碳材料之特徵在於:小於或約-500 MPa之一剛沉積的應力(as-deposited stress)。
  16. 如請求項15所述之半導體製造方法,其中該基板維持在低於或約50°C之一溫度下。
  17. 如請求項15所述之半導體製造方法,其中還從一惰性前驅物產生該電漿,該惰性前驅物包含氦或氬中之至少一者,且其中該惰性前驅物對該含烴前驅物之一流速比係大於或約10:1。
  18. 如請求項15所述之半導體製造方法,其中該含碳材料包含大於或約60%之具有sp 3混成鍵(hybridized bond)的碳原子。
  19. 如請求項15所述之半導體製造方法,其中含碳材料包含少於或約25莫耳%的氫。
  20. 如請求項15所述之半導體製造方法,其中該含碳材料包含類鑽石碳。
TW110128483A 2020-08-07 2021-08-03 低應力含碳層的沉積 TWI794915B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/987,666 2020-08-07
US16/987,666 US11404263B2 (en) 2020-08-07 2020-08-07 Deposition of low-stress carbon-containing layers

Publications (2)

Publication Number Publication Date
TW202212256A true TW202212256A (zh) 2022-04-01
TWI794915B TWI794915B (zh) 2023-03-01

Family

ID=80115255

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128483A TWI794915B (zh) 2020-08-07 2021-08-03 低應力含碳層的沉積

Country Status (6)

Country Link
US (2) US11404263B2 (zh)
JP (1) JP2023538528A (zh)
KR (1) KR20230044309A (zh)
CN (1) CN116348990A (zh)
TW (1) TWI794915B (zh)
WO (1) WO2022031475A1 (zh)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
KR100827528B1 (ko) * 2006-01-11 2008-05-06 주식회사 하이닉스반도체 sp3 분율이 높은 비정질 탄소를 하드마스크로 이용하는반도체 소자의 제조방법
KR20080102928A (ko) 2007-08-14 2008-11-26 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
CN102187432B (zh) * 2008-10-14 2013-07-31 应用材料公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
JP2013526061A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
KR102151611B1 (ko) * 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
US20150064365A1 (en) 2013-08-29 2015-03-05 Seagate Technology Llc Methods of forming films
US9695503B2 (en) * 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
CN117524848A (zh) * 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
TWI764008B (zh) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 高品質間隙填充的高偏壓沉積

Also Published As

Publication number Publication date
KR20230044309A (ko) 2023-04-03
US20220319841A1 (en) 2022-10-06
US20220044926A1 (en) 2022-02-10
WO2022031475A1 (en) 2022-02-10
US11404263B2 (en) 2022-08-02
JP2023538528A (ja) 2023-09-08
TWI794915B (zh) 2023-03-01
CN116348990A (zh) 2023-06-27

Similar Documents

Publication Publication Date Title
US12014927B2 (en) Highly etch selective amorphous carbon film
TWI726034B (zh) 基板處理方法
JP6787868B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US20180358229A1 (en) Diamond-Like Carbon As Mandrel
US7056830B2 (en) Method for plasma etching a dielectric layer
US20160099148A1 (en) Method of processing target object
JP2023156333A (ja) パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
JP2008198659A (ja) プラズマエッチング方法
US20240087894A1 (en) High density carbon films for patterning applications
CN111819669B (zh) 形成气隙的系统及方法
TW202213505A (zh) 蝕刻方法及電漿處理裝置
TWI794915B (zh) 低應力含碳層的沉積
TWI785711B (zh) 低應力含硼層之沉積
TWI823128B (zh) 用於鍺之擴散屏障