JP2009135439A - アモルファスカーボン層の高温堆積のための方法 - Google Patents

アモルファスカーボン層の高温堆積のための方法 Download PDF

Info

Publication number
JP2009135439A
JP2009135439A JP2008259903A JP2008259903A JP2009135439A JP 2009135439 A JP2009135439 A JP 2009135439A JP 2008259903 A JP2008259903 A JP 2008259903A JP 2008259903 A JP2008259903 A JP 2008259903A JP 2009135439 A JP2009135439 A JP 2009135439A
Authority
JP
Japan
Prior art keywords
substrate
amorphous carbon
carbon film
depositing
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008259903A
Other languages
English (en)
Other versions
JP5686944B2 (ja
Inventor
Martin Jay Seamons
ジェイ シモンズ マーティン
Yoganand N Saripalli
エヌ. サリパリ ヨガナンド
Kwangduk Douglas Lee
ダグラス リー クワンダック
Bok Hoen Kim
フェン キム ポク
Visweswaren Sivaramakrishnan
シヴァラマックリシュナン ヴィスウェスウォーレン
Wendy H Yeh
エイチ. イェー ウェンディー
Josephine Ju-Hwei Chang Liu
ジュフェイ チャン ルー ジョセフィーネ
Amir Al-Bayati
アルバヤティ アミール
Derek R Witty
アール. ウィッティー デレック
Hichem M'saad
マサッド イシェム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009135439A publication Critical patent/JP2009135439A/ja
Application granted granted Critical
Publication of JP5686944B2 publication Critical patent/JP5686944B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 改善されたステップカバレージを有するアモルファスカーボン膜を高温堆積するための方法を提供すること。
【解決手段】 一実施形態では、アモルファスカーボン膜を堆積するための方法は、処理チャンバに基板を準備し、500℃よりも高い温度に上記基板を加熱し、上記加熱された基板を含む上記処理チャンバ内へ炭化水素化合物及び不活性ガスを含むガス混合物を供給し、上記加熱された基板上に、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を有するアモルファスカーボン膜を堆積することを含む。
【選択図】 図2

Description

背景
発明の分野
[0001]本発明は、集積回路の製造に係り、基板上に物質を堆積するための処理に係る。より特定すると、本発明は、基板上にカーボン物質を堆積するための高温処理に係る。
背景技術の説明
[0002]集積回路は、単一チップに数百万というトランジスタ、キャパシタ及び抵抗を含むことのできる複合デバイスへと発展している。チップ設計のこのような発展は、絶えず、より高速な回路及びより高い回路密度を要求してきている。より高い回路密度を有するより高速な回路に対するこのような要求は、そのような集積回路を製造するのに使用される種々な物質に対してもそれに応じた種々な要求を課するものである。特に、集積回路構成部分の諸寸法がサブミクロンまで減ぜられてきている現在では、このような構成部分から適当な電気的性能を得るために、低抵抗率の導電性物質(例えば、銅)並びに低誘電率(約4より小さい誘電率)の絶縁物質を使用することが必要となっている。
[0003]より高い集積回路密度に対する要求は、集積回路構成部分の製造に使用される処理シーケンスにも種々な要求を課している。例えば、従来の写真平版印刷技法を使用する処理シーケンスにおいては、基板上に堆積された物質層のスタックの上にエネルギー感応レジスト層が形成される。このエネルギー感応レジスト層は、フォトレジストを形成するため、あるパターンの像に対して露出される。その後、このマスクパターンは、エッチング処理を使用してスタックの1つ以上の物質層へと転写されていく。このようなエッチング処理に使用される化学エッチング剤は、エネルギー感応レジストのマスクに対してよりも、スタックの物質層に対してより高いエッチング選択性を有するように選択されている。即ち、この化学エッチング剤は、エネルギー感応レジストよりも、物質スタックの1つ以上の層をより速い割合でエッチングしていく。レジストに対するよりもスタックの1つ以上の物質層に対するエッチング選択性をこのように高くすることにより、パターン転写の完了する前にそのエネルギー感応レジストが使い尽くされてしまわないようにすることができる。高い選択性のエッチング剤を使用することにより、より正確なパターン転写を行うことができる。
[0004]半導体デバイスを形成するのに使用される構造部の形状限界が技法の限界に逆らって押し広げられているので、それら構造部を製造するための正確なパターン転写のための必要性として、小さな微小寸法となり、高いアスペクト比とする難しさが増してきている。例えば、193ナノメータのレジスト層のようなエネルギー感応レジストの厚さは、パターン解像度を制御するため減ぜられている。このような薄いレジスト層(例えば、約2000Åより薄い)は、化学エッチング剤により侵蝕されるためパターン転写ステップ中に下層の物質層をマスクするのに十分でないことがある。ハードマスクと称される中間層(例えば、酸窒化シリコン、炭化シリコン、カーボン膜)が、化学エッチング剤に対するより高い耐性のため、パターン転写を容易とするため、エネルギー感応レジスト層と下層の物質層との間に、しばしば使用される。約5:1のより大きいアスペクト比及び/又は約50ナノメータより小さな微小寸法を有する構造部を形成するため物質をエッチングするとき、それら物質へパターンを転写するのに使用されるハードマスクは、相当の時間の間、アグレッシブエッチング剤に対して露出される。このようなアグレッシブエッチング剤に対する長い期間に亘る露出の後は、そのハードマスク層は、曲げられ、つぶされ、没落され、ねじられ、歪まされ又は変形させられてしまうことがあり、その結果として、パターン転写が不正確となってしまい、寸法制御が失われてしまうことがある。更に又、膜スタックの堆積膜及び/又はハードマスク層における応力により、応力誘導線エッジベンディング及び/又は線破損が生じてしまうことがある。
[0005]その上、膜スタックにおいて堆積されたハードマスク層及び隣接層に対して選択された物質の類似性のため、それらの間のエッチング特性もまた類似してくることがあり、その結果として、エッチング中の選択性が悪くなってしまうことがある。ハードマスク層と隣接層との間の選択性が悪くなると、ハードマスク層のプロフィールが非均一とされ、テーパ付けされ、変形されたものとなってしまうことがあり、それにより、パターン転写が不良となり、正確な構造寸法制御ができなくなってしまうことがある。
[0006]従って、当業分野において、ハードマスク層を堆積するための改良された方法が必要とされている。
概要
[0007]改善されたステップカバレージを有するアモルファスカーボン膜を高温堆積するための方法が提供される。一実施形態では、アモルファスカーボン膜を堆積するための方法は、処理チャンバに基板を準備するステップと、500℃よりも高い温度に上記基板を加熱するステップと、上記加熱された基板を含む上記処理チャンバ内へ炭化水素化合物及び不活性ガスを含むガス混合物を供給するステップと、上記加熱された基板上に、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を有するアモルファスカーボン膜を堆積するステップと、を含む。
[0008]別の実施形態では、アモルファスカーボン膜を堆積するための方法は、処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、上記処理チャンバ内へ、炭化水素化合物及びヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガスを含むガス混合物を流し込むステップと、約550℃と約750℃との間の温度に上記基板を維持するステップと、上記加熱された基板上にアモルファスカーボン膜を堆積するステップと、を含み、不活性ガス流量は、100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を上記堆積膜に生成するため、上記基板温度と整合するように選択されている。
[0009]別の実施形態では、アモルファスカーボン膜を堆積するための方法は、処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、上記処理チャンバ内へ、ヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガス及びプロパン化合物又はアセチレン化合物のうちの少なくとも1つを含むガス混合物を流し込むステップと、約550℃と約750℃との間の温度に上記基板を維持するステップと、上記基板にアモルファスカーボン膜を堆積するステップと、を含み、上記不活性ガスの量及び上記基板温度は、上記堆積アモルファスカーボン膜に約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の所定の応力レベルを生成するように選択されている。
[0010]本発明の前述したような特徴が達成され、詳細に理解できるように、概要について簡単に前述したような本発明について、添付図面に例示している実施形態に関して、以下より特定して説明する。
[0014]理解を容易とするため、図において共通な同一の要素を示すのに、可能な限り、同一の参照符号を使用している。1つの実施形態の要素及び特徴は、特に繰り返し述べなくとも、他の実施形態に効果的に使用することができるものと考えられる。
[0015]しかしながら、添付図面は、本発明の典型的な実施形態のみを例示しているのであって、従って、本発明の範囲をそれに限定しようとしているものではなく、本発明は、均等の効果を発揮しうる他の実施形態を含みうるものであることに、注意されたい。
詳細な説明
[0016]本発明は、高い温度においてアモルファスカーボン膜を高温形成するための方法を提供する。一実施形態では、そのアモルファスカーボン膜は、ハードマスク層として使用するのに適したものである。このアモルファスカーボン膜は、高い処理温度、例えば、約500℃よりも高い処理温度において炭化水素化合物及び不活性ガスを含むガス混合物を分解することにより堆積される。堆積中により高い処理温度を使用することにより、高密度、硬さ及び弾性率を維持しつつ低膜応力のような望ましい機械的特性を有するアモルファスカーボン膜を与えることができ、これにより、その後のエッチング処理のため他の物質層に対する高い膜選択性が与えられる。更に又、高温で堆積されたアモルファスカーボン膜は、写真平版印刷パターン形成処理のために有利な望ましい範囲の屈折率(n)及び吸収係数(k)のような望ましい光膜特性を与えることもできる。
[0017]図1は、本発明の実施形態に従ってアモルファスカーボン層堆積を行うのに使用することができる基板処理システムの概略図である。本発明を実施するのに使用される基板処理システム132の一実施例の詳細については、Salvador氏等に2002年4月2日に発行され同一人に譲渡された米国特許第6,364,954号明細書に記載されており、この米国特許明細書の記載はここに援用される。本発明を実施するのに使用されるシステムの他の実施例としては、CENTURA(登録名)、PRECISION 5000(登録名)及びPRODUCER(登録名)堆積システムがあり、これらは、全てカリフォルニア州サンタクララのアプライドマテリアル社から入手できるものである。他の製造者から入手できるような他の処理システムを含めてその他の処理システムも、本発明を実施するのに適応できると考えられる。
[0018]この処理システム132は、ガスパネル130及びコントローラ110に結合された処理チャンバ100を含む。処理チャンバ100は、一般的には、内部空間126を画成する上部124、側部101及び底壁部122を含む。このチャンバ100の内部空間126には、支持ペデスタル150が設けられている。このペデスタル150は、アルミニウム、セラミック、及びその他の適当な材料で形成することができる。一実施形態では、ペデスタル150は、ペデスタル150に熱的損傷を生ぜしめることなくプラズマ処理環境のような高温環境において使用するのに適した材料である、窒化アルミニウムのようなセラミック材料で形成される。このペデスタル150は、リフト機構(図示せず)を使用してチャンバ100の内側で垂直方向に移動される。
[0019]ペデスタル150は、このペデスタル150上に支持される基板190の温度を制御するのに適した埋め込みヒータ素子170を含むことができる。一実施形態では、ペデスタル150は、電力供給源106から電流をヒータ素子170へ加えることにより抵抗加熱される。一実施形態では、ヒータ素子170は、ニッケル−鉄−クロム合金(例えば、INCOLOY(登録名))シーズチューブに封入されたニッケル−クロムワイヤで形成されている。電力供給源106から供給される電流は、ヒータ素子170により発生される熱を制御して、膜堆積中に基板190及びペデスタル150を実質的に一定の温度に維持するように、コントローラ110により調整される。その供給電流は、ペデスタル150の温度を、500℃より高いような、約100℃と約780℃との間の温度に選択的に制御するように調整することができる。
[0020]従来の仕方によりペデスタル150の温度を監視するため支持ペデスタル150に、熱電対のような温度センサ172を埋め込むことができる。その測定温度は、基板を望ましい温度に維持するため、加熱素子170へ供給される電力を制御するのにコントローラ110によって使用される。
[0021]真空ポンプ102が、チャンバ100の壁部に形成されたポートに結合される。この真空ポンプ102は、処理チャンバ100に望ましいガス圧力を維持するのに使用される。この真空ポンプ102は、処理後ガス及び処理副生物をチャンバ100から排気することもする。
[0022]複数の開口128を有するシャワーヘッド120が、基板支持ペデスタル150の上方で処理チャンバ100の上部124に結合される。シャワーヘッド120の開口128は、処理ガスをチャンバ100内へ導入するのに使用される。これら開口128は、種々異なる処理要件に対して種々な処理ガスを流すため、種々異なるサイズ、数、分布、形状、構造及び直径を有することができる。シャワーヘッド120は、処理中に内部空間126へ種々なガスを供給できるようにするガスパネル130に接続されている。基板190の表面191に物質を堆積させる処理ガスの熱分解を増強するため、シャワーヘッド120から出された処理ガス混合物からプラズマが形成される。
[0023]シャワーヘッド120及び基板支持ペデスタル150は、内部空間126における1対の離間電極として形成することができる。1つ以上の高周波源140により、整合回路網138を通してバイアス電位がシャワーヘッド120へ与えられ、シャワーヘッド120とペデスタル150との間にプラズマを生成することができる。別の仕方として、高周波電力源140及び整合回路網138は、シャワーヘッド120に結合することもでき、基板ペデスタル150に結合することもでき、又は、シャワーヘッド120及び基板ペデスタル150の両方に結合することもでき、又は、チャンバ100の外部に配設されたアンテナ(図示せず)に結合することもできる。一実施形態では、高周波源140は、約30kHzから約13.6MHzまでの周波数で約500ワットと約3000ワットとの間の電力を与えることができる。
[0024]コントローラ110は、処理シーケンスを制御し且つガスパネル130からのガス流を調整するのに使用される中央処理装置(CPU)112、メモリ116及び支援回路114を含む。CPU112は、工業設定において使用される任意の形式の汎用コンピュータプロセッサであってよい。ランダムアクセスメモリ、リードオンリメモリ、フロッピー又はハードディスクドライブ、又はその他の形式のデジタル記憶装置のようなメモリ116に、ソフトウエアルーチンを記憶させておくことができる。支援回路114は、従来のようにCPU112に結合され、キャッシュ、クロック回路、入力/出力システム、電力供給源等を含むことができる。図1に幾つかが示されていて、まとめて信号バス118と称されているような種々な信号バスを通して、制御装置110と処理システム132の種々な構成部分との間の双方向通信が行われる。
[0025]図2は、本発明の一実施形態によりアモルファスカーボン膜を堆積するための方法200の処理フロー図を例示している。図3Aから図3Cは、この方法200により堆積されたハードマスク層として使用するためのアモルファスカーボン膜を堆積するシーケンスを例示する概略断面図である。
[0026]この方法200は、ステップ202で始まり、このステップにおいて、処理チャンバに基板を準備する。その処理チャンバは、図1に示されたような処理チャンバ100であってよい。他の製造者から入手できるようなものを含めて他の処理チャンバを使用することもできると考えられる。基板190は、図3Aに示されるように、物質層302を堆積させているものでもよい。この基板190は、実質的に平坦な表面を有するものであっても、又は、平らでない表面を有するものであっても、又は、そこにある構造部を形成した実質的に平坦な表面を有するものであってもよい。一実施形態では、物質層302は、ゲート構造部、コンタクト構造部又はシャドウトレンチアイソレーション(STI)構造部を形成するのに使用される膜スタックの部分であってよい。このような物質層302がないような実施形態では、これら構造部は、基板190において直接的に形成することができる。
[0027]一実施形態では、物質層302は、ゲート電極を形成するのに使用されるシリコン層である。別の実施形態では、この物質層302は、酸化シリコン層、シリコン層上に堆積された酸化シリコン層を含むことができる。更に別の実施形態では、この物質層302は、半導体デバイスを製造するのに使用される他の誘電体物質の1つ以上の層を含むことができる。更に別の実施形態では、この物質層302は、金属層を含まないものとすることができる。
[0028]ステップ204において、基板は、約500℃と約750℃との間のような、約500℃より高い温度に維持される。ガス混合物の分解の反応挙動を制御するため、従来の堆積処理よりも高い温度に維持される。従来の堆積処理は、典型的には、約450℃よりも低い温度において行われる。従来の理解では、450℃より高い基板温度を使用すると、堆積速度がより低くなり、基板の表面に亘る膜均一性が悪くなり、従って、生産スループットがより低くなってしまい、膜特性もより望ましくないものとなってしまうと考えられていた。更に又、過度に高い処理温度とすると、このタイプの処理に使用される大抵の従来の支持ペデスタルが損傷させられてしまい、そのペデスタルの寿命が減少させられてしまい、処理汚染の原因となる粒子生成も増大する可能性があると考えられていた。しかしながら、以下に更に説明するように、注意深く選択したガス混合物と共に500℃より高い注意深く選択された基板温度を使用することにより、望ましい膜堆積速度を維持し且つ基板内膜均一性を維持しつつ効果的な膜特性及び選択性を有する膜とすることができるような処理ウインドウを作り出すことができることが見出されたのである。
[0029]ステップ206において、ガス混合物が、ガスパネル130からシャワーヘッド120を通して処理チャンバ100内へ流し込まれる。このガス混合物は、少なくとも炭化水素化合物及び不活性ガスを含む。一実施形態では、炭化水素化合物は、配合式Cを有する。ここで、xは、1と12との間の範囲を有し、yは、4と26との間の範囲を有する。より詳細には、脂肪族炭化水素として、例えば、メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン、へプタン、オクタン、ノナン、デカン等のようなアルカン、プロペン、エチレン、プロピレン、ブチレン、ペンテン等のようなアルケン、ヘキサジエン、ブタジエン、イソプレン、ペンタジエン等のようなジエン、アセチレン、ビニルアセチレン等のようなアルキンがある。脂環式炭化水素として、例えば、シクロプロパン、シクロブタン、シクロペンタン、シクロペンタジエン、トルエン等がある。芳香族炭化水素として、例えば、ベンゼン、スチレン、トルエン、キシレン、ピリジン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン等がある。更に又、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテルもまた使用することができる。更に又、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテルを選択することもできる。ある典型的な実施形態では、炭化水素化合物は、プロペン、アセチレン、エチレン、プロピレン、ブチレン、トルエン、α-テルピネンである。ある特定の実施形態では、炭化水素化合物は、プロペン(C)又はアセチレンである。
[0030]別の仕方として、1つ以上の炭化水素化合物を、処理チャンバへ供給されたガス混合物の炭化水素化合物と混ぜることができる。2つ以上の炭化水素化合物の混合物を、アモルファスカーボン物質を堆積するのに使用することができる。
[0031]アルゴン(Ar)又はヘリウム(He)のような不活性ガスが、処理チャンバ100へのガス混合物で供給される。アモルファスカーボン層の密度及び堆積速度を制御するのに、窒素(N)及び一酸化窒素(NO)、水素(H)、アンモニア(NH)、水素(H)及び窒素(N)の混合物、又はそれらの組合せを使用することもできる。堆積アモルファスカーボン層の水素比(例えば、炭素対水素比)を制御するのに、H及び/又はNHを添加して使用することもできる。アモルファスカーボン膜に存在する水素比により、反射率のような層特性を制御することができる。
[0032]一実施形態では、アルゴン(Ar)又はヘリウム(He)のような不活性ガスが、プロペン(C)又はアセチレンのような炭化水素化合物と共に、アモルファスカーボン膜を堆積するため処理チャンバ内へ供給される。ガス混合物に与えられている不活性ガスは、形成された層の屈折率(n)及び吸収係数(k)、硬さ、密度及び弾性率のような、堆積直後の層の光学的及び機械的特性の制御を助成する。例えば、プラズマ堆積中に、ガス混合物において供給された炭化水素化合物は、炭素イオン及び水素イオンとして解離する。堆積膜に存在する水素比は、光学的及び機械的特性に影響を及ぼす。プラズマ解離ガス混合物において与えられる、Ar又はHe原子のような原子は、ガス混合物においてある特定の運動量を生成し、プラズマ衝撃の可能性を増大させ、従って、膜結合形成から水素原子を追いやってしまう。従って、膜形成のためにガス混合物に含まれるイオンは、ほとんど炭素イオンとなり、炭素と炭素の二重結合形成の可能性が増大され、その形成された層の吸収係数(k)がより高くなり、例えば、透過性がより低くなり、硬さ、密度及び弾性率がより高くなる。更に又、より高い堆積温度によっても、炭素と炭素の二重結合形成の可能性が増大されるので、堆積された膜の光学的及び機械的特性を調整するための別の代替方法を与えることができる。このように、形成される堆積膜に含まれる水素比を制御することにより、堆積膜の光学的及び機械的特性を効率良く制御し調整することができる。
[0033]ステップ208において、図3Bに示されるように、500℃より高く制御された基板温度で高周波プラズマの存在下で、物質層302上に及び/又は基板190上に、アモルファスカーボン膜304が堆積される。前述したように、ガス混合物における炭化水素化合物は、比較的に高い温度で分解し、広範囲に分解し熱分解した炭化水素化合物からの炭素及び水素原子の間の結合を可能とする。従って、実質的に分解した炭素及び水素原子は、ガス混合物から生成されたプラズマにより再編成され再配列され、それにより、基板表面に均一に徐々に吸収され、基板190上にアモルファスカーボン膜304を形成する。基表面に吸収された無秩序な又は配向されていない原子があると、しばしば、膜構造が不良となり固有膜応力が生じてしまう。固有膜応力が生ずると、膜ボイド、クラック、ボーイング及びヒルロックが生じてしまい、平版印刷処理中の特徴部転写に相当な影響が及ぼされてしまい、その後のエッチング処理中にパターン形成線ベンディング又は線切断が生じてしまう。更に又、形成されたアモルファスカーボン膜のこのような固有膜応力が存在すると、基板190に形成された隣接層の間の応力不一致が生じ、それにより、膜クラック又は膜構造部ベンディング及び変形が生じてしまう。堆積処理中に処理ガスの適切な組合せの下で500℃より高い範囲に基板温度を上昇させることにより、炭化水素化合物からの炭素及び水素原子は、実質的に分解され再構成されて、アモルファスカーボン膜304の炭素原子の秩序及び格子を再配列させ、低応力膜を有する実質的に平坦な表面を生成することができる。このようにして、炭素原子は、より秩序だった均一な仕方において基板表面上に堆積させられるのである。
[0034]一実施形態では、堆積されたアモルファスカーボン膜304の応力は、零に近いのが望ましく、例えば、非圧縮性又は非引張性膜の実質的に平らな表面であるのが望ましい。堆積処理中に過度に高い処理温度及び過度に高い高周波電力を使用すると、その堆積されたカーボン膜が過度に引張性又は圧縮性のものとされてしまい、これが、その後のエッチング及び堆積処理中に線ベンディング、応力不一致及び/又は膜クラックが生ずる要因となってしまうことがある。カーボン膜に形成される望ましい膜応力は、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間である。所定の基板処理温度に対して不活性ガスの適量を注意深く選定することにより、このような望ましい応力範囲にある膜応力を有するアモルファスカーボン膜を得ることができる。基板処理温度と不活性ガス流量とのこのような組合せにより与えられる処理ウインドウによれば、応力、機械的及び光学的膜特性の望ましい組合せを生成することもできる。例えば、不活性ガス流量を高くし過ぎると、堆積膜が圧縮性になり過ぎると同時に、不活性ガスが無かったり不活性ガス流量を低くし過ぎると、膜の均一性が不良とされ、n/k値が望ましくないものとされてしまう。温度が高いほど、一般的には、膜応力をより低くすることができ、従って、処理を平衡させ堆積膜の応力を零に近づけるために使用される基板温度に応じて不活性ガスの割合を減ずることができる。
[0035]更に又、ガス混合物に不活性ガスを加えることにより、プラズマにより解離された水素原子が、そのガス混合物から効率良く駆動され追いやられ、それにより、堆積アモルファスカーボン膜における炭素と炭素との結合が増強される。このように炭素と炭素との結合が増強されると、硬さ、弾性率及び密度のような機械的特性がより強化され、それにより、その後のエッチング処理中のプラズマ侵蝕に対する高い耐性を有し且つ高い選択性を有する堆積アモルファスカーボン膜304を与えることができる。その上、ガス混合物において供給される不活性ガスの量を調整することにより、膜応力及びエッチング選択性を望ましい範囲に維持しつつ、形成カーボン膜304の光学的特性、例えば、屈折率(n)及び吸収係数(k)を望ましい範囲において得ることもできる。別の仕方として、異なる処理要件を満足するように異なる数の炭素原子及び水素原子及び/又は炭素原子と水素原子との異なる比率を有するような異なる炭化水素化合物を選択することにより、異なる光学的及び機械的特性を有する堆積カーボン膜を得ることもできる。
[0036]一実施形態では、堆積アモルファスカーボン膜の吸収係数(k)は、約633ナノメータの波長で約0.2と約1.8との間に制御され、又、約193ナノメータの波長で約0.3と約0.6との間に制御される。
[0037]一実施形態では、アモルファスカーボン膜304の吸収係数は、堆積温度の関数として変化させることもできる。詳述するに、温度を増大させるにつれて、堆積層の吸収係数(k)も同様に増大する。従って、堆積カーボン膜が望ましい範囲の応力、屈折率(n)及び吸収係数(k)を有するように調整するのに、処理温度と、ガス混合物において供給される不活性ガスと炭化水素化合物との比と、の組合せを適切に選択して使用することができる。
[0038]処理温度が、約550℃と約750℃との間のように、約500℃より高い温度に制御されるような一実施形態では、プロペン(C)のような炭化水素化合物が、約400sccmと約2000sccmとの間のように、約200sccmと約3000sccmとの間の割合でガス混合物において供給される。Arガスのような不活性ガスが、約1200sccmと約8000sccmとの間のように、約200sccmと約10000sccmとの間の割合でガス混合物において供給される。
[0039]堆積中に、処理温度を必要に応じて調整することができる。300mm基板を処理するのに適した一実施形態では、800ワットから約1600ワットまでの間のように約400ワットから約2000ワットまでの間の高周波ソース電力、又は、約1.35ワット/cmと約2.35ワット/cmとの間の電力密度が、ガス混合物から形成されるプラズマを維持するのに加えられる。処理圧力は、約2トールから約12トールまで、例えば、約4トールから約9トールまでのように、約1トールから約20トールまでに維持される。基板とシャワーヘッドとの間の間隔は、約200ミルから約1000ミルまでに制御される。本発明を実施するのに使用することのできるアモルファスカーボン膜を堆積するための処理パラメータの他の実施例の詳細については、Seamaons氏等による2005年12月29日に公開され同一人に譲渡された米国特許出願公開第2005/0287771号明細書及びPadhi氏等による2006年6月28日に出願された米国特許出願第11/427,324号(代理人管理番号10847)明細書に記載されており、これら明細書の記載はここに援用される。
[0040]本方法200は、半導体デバイス製造処理において金属化処理の前にフロントエンド処理(FEOL)において使用される処理のために特に適している。適当なフロントエンド処理(FEOL)としては、ゲート製造適用例、コンタクト構造部適用例、シャドウトレンチアイソレーション(STI)処理等がある。
[0041]アモルファスカーボン膜304がエッチストップ層として使用され又は異なる処理目的で異なる膜として使用されるような実施形態では、その膜の機械的又は光学的特性は、その特定の処理目的に十分に合うように調整される。例えば、アモルファスカーボン膜304がエッチストップ層として使用されるような実施形態では、その膜の機械的特性は、下層のオーバエッチングを防止するような高い選択性を与えるように、その光学的特性よりも重きを置かれ、又、その逆も同じである。
[0042]アモルファスカーボン膜304がハードマスク層として使用されるような特定の実施形態では、そのアモルファスカーボン膜304が基板190上に堆積された後、(図3Cにおいて仮想的に示された)任意的なキャップ層306がそのアモルファスカーボン膜304上に堆積させられる。この任意的なキャップ層306は、アモルファスカーボン膜304と一緒になって、レジスト層がそのキャップ層306上に堆積されるときに、平版印刷処理を行い易くするための反射防止膜として作用する。この任意的なキャップ層306の適当な材料としては、シリコン、酸化シリコン、炭化シリコン(SiC)、酸窒化シリコン(SiON)、窒化シリコン(SiN)及びその他の材料がある。このアモルファスカーボン膜304は、深紫外線(DUV)平版印刷、極紫外線(EUV)平版印刷、浸漬平版印刷又はその他の適当な平版印刷技法に使用することができる。
[0043]従って、望ましい機械的膜特性及び光学的膜特性の両方を有するアモルファスカーボン膜を堆積するための方法は、高温堆積処理を使用することにより与えられる。この方法は、アモルファスカーボン膜の応力、硬さ、弾性率及び密度のような機械的特性を改善するのにも効果的である。そのようにカーボン膜の機械的特性が改善されると、その後の平版印刷処理のために屈折率(n)及び吸収係数(k)のような光学的膜特性を望ましい範囲に維持しつつ、その後のエッチング処理のために高い膜選択性を与えることができる。
[0044]本発明の種々な実施形態について前述してきたのであるが、本発明の基本的な範囲から逸脱せずに、本発明の他の更なる実施形態が考えられるものであり、本発明の範囲は、特許請求の範囲の記載によって決定されるものである。
本発明の実施のために使用することのできる装置の概略図を示している。 本発明の一実施形態による堆積処理の処理フロー図を示している。 図2の方法により堆積されるアモルファスカーボン層を組み入れる基板構造部の概略断面図のシーケンスを示している。 図2の方法により堆積されるアモルファスカーボン層を組み入れる基板構造部の概略断面図のシーケンスを示している。 図2の方法により堆積されるアモルファスカーボン層を組み入れる基板構造部の概略断面図のシーケンスを示している。
符号の説明
100…処理チャンバ、101…側部、102…真空ポンプ、106…電力供給源、110…コントローラ、112…CPU、114…支援回路、116…メモリ、118…信号バス、120…シャワーヘッド、122…底壁部、124…上部、126…内部空間、128…開口、130…ガスパネル、132…基板処理システム、138…整合回路網、140…高周波源、150…支持ペデスタル、170…ヒータ素子、172…温度センサ、190…基板、191…表面、200…方法、202…ステップ、204…ステップ、206…ステップ、208…ステップ、302…物質層、304A…膜、304B…膜、304C…膜、306…任意的なキャップ層

Claims (15)

  1. アモルファスカーボン膜を堆積するための方法において、
    処理チャンバに基板を準備するステップと、
    500℃よりも高い温度に上記基板を加熱するステップと、
    上記加熱された基板を含む上記処理チャンバ内へ炭化水素化合物及び不活性ガスを含むガス混合物を供給するステップと、
    上記加熱された基板上に、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を有するアモルファスカーボン膜を堆積するステップと、
    を備えた方法。
  2. 上記炭化水素化合物は、メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン、へプタン、オクタン、ノナン、デカン、プロペン、エチレン、プロピレン、ブチレン、ペンテン、ヘキサジエン、ブタジエン、イソプレン、ペンタジエン、アセチレン、ビニルアセチレン、シクロプロパン、シクロブタン、シクロペンタン、シクロペンタジエン、トルエン、ベンジエン、スチレン、トルエン、キシレン、ピリジン、エチルベンジエン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンジエン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、t-ブチルフルフリルエーテル、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンジエン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテルのうちの少なくとも1つを含む、請求項1に記載の方法。
  3. 上記炭化水素化合物は、プロペン又はアセチレンのうちの少なくとも1つである、請求項1に記載の方法。
  4. 上記基板を加熱するステップは、更に、
    上記基板温度を約550℃と約750℃との間に維持する段階を含む、請求項1に記載の方法。
  5. 上記処理チャンバ内へ上記ガス混合物を供給するステップは、更に、
    約200sccmと約3000sccmとの間の流量で上記炭化水素化合物を流す段階と、
    約200sccmと約10000sccmとの間の流量で上記不活性ガスを流す段階と、
    を含む、請求項1に記載の方法。
  6. 上記不活性ガスは、Ar又はHeのうちの少なくとも1つである、請求項1に記載の方法。
  7. 上記アモルファスカーボン膜を堆積するステップは、更に、
    上記基板温度に応じて上記処理チャンバへ与えられる不活性ガスの割合を選択する段階を含む、請求項1に記載の方法。
  8. 上記アモルファスカーボン膜を堆積するステップは、更に、
    上記ガス混合物を付勢するために400ワットと2000ワットとの間の高周波ソース電力を加える段階を含む、請求項1に記載の方法。
  9. アモルファスカーボン膜を堆積するための方法において、
    処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、
    上記処理チャンバ内へ、炭化水素化合物及びヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガスを含むガス混合物を流し込むステップと、
    約550℃と約750℃との間の温度に上記基板を維持するステップと、
    上記加熱された基板上にアモルファスカーボン膜を堆積するステップと、
    を備え、不活性ガス流量は、100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を上記堆積膜に生成するため、上記基板温度と整合するように選択される、方法。
  10. 上記炭化水素化合物は、プロパン又はアセチレンのうちの少なくとも1つである、請求項9に記載の方法。
  11. 上記処理チャンバ内へ上記ガス混合物を流し込むステップは、更に、
    約200sccmと約3000sccmとの間の流量で上記炭化水素化合物を流す段階と、
    約200sccmと約10000sccmとの間の流量で上記不活性ガスを流す段階と、
    を含む、請求項9に記載の方法。
  12. 上記アモルファスカーボン膜を堆積するステップは、更に、
    上記ガス混合物を付勢するために400ワットと2000ワットとの間の高周波ソース電力を加える段階を含む、請求項11に記載の方法。
  13. 上記基板上にアモルファスカーボン膜を堆積するステップは、更に、
    約2トールと約10トールとの間の範囲に処理圧力を維持する段階を含む、請求項9に記載の方法。
  14. 上記膜スタックは、ゲート構造部、コンタクト構造部又はシャドウトレンチアイソレーション構造部を形成するのに適している、請求項9に記載の方法。
  15. アモルファスカーボン膜を堆積するための方法において、
    処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、
    上記処理チャンバ内へ、ヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガス及びプロパン化合物又はアセチレン化合物のうちの少なくとも1つを含むガス混合物を流し込むステップと、
    約550℃と約750℃との間の温度に上記基板を維持するステップと、
    上記基板にアモルファスカーボン膜を堆積するステップと、
    を備え、上記不活性ガスの量及び上記基板温度は、上記堆積アモルファスカーボン膜に約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の所定の応力レベルを生成するように選択される、方法。
JP2008259903A 2007-10-08 2008-10-06 アモルファスカーボン層の高温堆積のための方法 Active JP5686944B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/868,859 2007-10-08
US11/868,859 US20090093128A1 (en) 2007-10-08 2007-10-08 Methods for high temperature deposition of an amorphous carbon layer

Publications (2)

Publication Number Publication Date
JP2009135439A true JP2009135439A (ja) 2009-06-18
JP5686944B2 JP5686944B2 (ja) 2015-03-18

Family

ID=40523640

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008259903A Active JP5686944B2 (ja) 2007-10-08 2008-10-06 アモルファスカーボン層の高温堆積のための方法

Country Status (5)

Country Link
US (1) US20090093128A1 (ja)
JP (1) JP5686944B2 (ja)
KR (1) KR101161912B1 (ja)
CN (1) CN101407909A (ja)
TW (1) TWI471448B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP2012059872A (ja) * 2010-09-08 2012-03-22 Hitachi High-Technologies Corp 熱処理装置
US8993456B2 (en) 2011-10-27 2015-03-31 Tokyo Electron Limited Film forming apparatus and method of operating the same
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
US9279183B2 (en) 2011-10-27 2016-03-08 Tokyo Electron Limited Film forming apparatus and method of operating the same
US9337015B2 (en) 2012-04-20 2016-05-10 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of processing a substrate, substrate processing apparatus, and recording medium
WO2016157787A1 (ja) * 2015-03-27 2016-10-06 日本ゼオン株式会社 カーボンナノチューブを含む炭素ナノ構造体の製造方法
JP2021504967A (ja) * 2017-12-01 2021-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング選択性の高いアモルファスカーボン膜

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100304504A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
JP5411171B2 (ja) 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
US8492278B2 (en) * 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP5524132B2 (ja) * 2010-07-15 2014-06-18 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置
US20120043518A1 (en) * 2010-08-18 2012-02-23 Applied Materials, Inc. Variable resistance memory element and fabrication methods
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
TWI764002B (zh) * 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
CN111584725A (zh) * 2020-05-15 2020-08-25 武汉华星光电半导体显示技术有限公司 Oled的面板及其制造方法
KR20220006686A (ko) * 2020-07-08 2022-01-18 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
EP4148162A1 (de) * 2021-09-13 2023-03-15 Behzad Sahabi Beschichtungsverfahren und vorrichtung zum ausbilden einer barriereschicht zur erhöhung der impermeabilität und korrosionsbeständigkeit, beschichtung und gebinde zur einbettung und versiegelung radioaktiver körper für die endlagerung, sowie verfahren zur herstellung des gebindes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005045053A (ja) * 2003-07-23 2005-02-17 Elpida Memory Inc 半導体装置の製造方法
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262262A (en) * 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH07243064A (ja) * 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
RU2204181C2 (ru) * 1997-01-21 2003-05-10 Джорджиэ Тек Рисеч Копэрейшн Полупроводниковое устройство (варианты) и способ формирования воздушных зазоров внутри структуры (варианты)
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) * 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6103305A (en) * 1997-11-26 2000-08-15 Sandia Corporation Method of forming a stress relieved amorphous tetrahedrally-coordinated carbon film
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) * 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) * 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
DE19833491A1 (de) * 1998-07-24 2000-02-03 Siemens Ag Niederinduktive Verschienung für einen Dreipunkt-Phasenbaustein
JP2000106396A (ja) * 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) * 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005045053A (ja) * 2003-07-23 2005-02-17 Elpida Memory Inc 半導体装置の製造方法
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP2012059872A (ja) * 2010-09-08 2012-03-22 Hitachi High-Technologies Corp 熱処理装置
US8993456B2 (en) 2011-10-27 2015-03-31 Tokyo Electron Limited Film forming apparatus and method of operating the same
US9279183B2 (en) 2011-10-27 2016-03-08 Tokyo Electron Limited Film forming apparatus and method of operating the same
US9337015B2 (en) 2012-04-20 2016-05-10 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of processing a substrate, substrate processing apparatus, and recording medium
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
WO2016157787A1 (ja) * 2015-03-27 2016-10-06 日本ゼオン株式会社 カーボンナノチューブを含む炭素ナノ構造体の製造方法
JPWO2016157787A1 (ja) * 2015-03-27 2018-01-18 日本ゼオン株式会社 カーボンナノチューブを含む炭素ナノ構造体の製造方法
JP2021504967A (ja) * 2017-12-01 2021-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング選択性の高いアモルファスカーボン膜
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜

Also Published As

Publication number Publication date
TWI471448B (zh) 2015-02-01
KR101161912B1 (ko) 2012-07-09
JP5686944B2 (ja) 2015-03-18
TW200938651A (en) 2009-09-16
US20090093128A1 (en) 2009-04-09
CN101407909A (zh) 2009-04-15
KR20090036082A (ko) 2009-04-13

Similar Documents

Publication Publication Date Title
JP5686944B2 (ja) アモルファスカーボン層の高温堆積のための方法
JP7301931B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
JP2013540359A (ja) 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US20150371851A1 (en) Amorphous carbon deposition process using dual rf bias frequency applications
US20070286954A1 (en) Methods for low temperature deposition of an amorphous carbon layer
US20150228463A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2023532883A (ja) ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
WO2022031475A1 (en) Deposition of low-stress carbon-containing layers

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121009

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121012

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121120

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130522

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130530

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20130712

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131031

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150121

R150 Certificate of patent or registration of utility model

Ref document number: 5686944

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250