JP2009135439A - アモルファスカーボン層の高温堆積のための方法 - Google Patents
アモルファスカーボン層の高温堆積のための方法 Download PDFInfo
- Publication number
- JP2009135439A JP2009135439A JP2008259903A JP2008259903A JP2009135439A JP 2009135439 A JP2009135439 A JP 2009135439A JP 2008259903 A JP2008259903 A JP 2008259903A JP 2008259903 A JP2008259903 A JP 2008259903A JP 2009135439 A JP2009135439 A JP 2009135439A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- amorphous carbon
- carbon film
- depositing
- film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 62
- 229910003481 amorphous carbon Inorganic materials 0.000 title claims abstract description 58
- 230000008021 deposition Effects 0.000 title abstract description 13
- 239000000758 substrate Substances 0.000 claims abstract description 73
- 238000012545 processing Methods 0.000 claims abstract description 69
- 239000007789 gas Substances 0.000 claims abstract description 51
- 238000000151 deposition Methods 0.000 claims abstract description 37
- 239000000203 mixture Substances 0.000 claims abstract description 35
- 239000011261 inert gas Substances 0.000 claims abstract description 30
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 26
- QQONPFPTGQHPMA-UHFFFAOYSA-N Propene Chemical compound CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 14
- -1 ethylene, propylene, butylene, pentene Chemical class 0.000 claims description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 12
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 claims description 12
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 claims description 10
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 claims description 8
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 8
- 229910052734 helium Inorganic materials 0.000 claims description 8
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 6
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 6
- 239000001307 helium Substances 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 claims description 6
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims description 6
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 claims description 5
- 229910052751 metal Inorganic materials 0.000 claims description 5
- 239000002184 metal Substances 0.000 claims description 5
- 239000001294 propane Substances 0.000 claims description 5
- UROUUEDWXIQAAY-UHFFFAOYSA-N 2-[(2-methylpropan-2-yl)oxymethyl]furan Chemical compound CC(C)(C)OCC1=CC=CO1 UROUUEDWXIQAAY-UHFFFAOYSA-N 0.000 claims description 4
- PKXHXOTZMFCXSH-UHFFFAOYSA-N 3,3-dimethylbut-1-ene Chemical group CC(C)(C)C=C PKXHXOTZMFCXSH-UHFFFAOYSA-N 0.000 claims description 4
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 claims description 4
- RGSFGYAAUTVSQA-UHFFFAOYSA-N Cyclopentane Chemical compound C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 claims description 4
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 claims description 4
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 claims description 4
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 claims description 4
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 claims description 4
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 claims description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 4
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 claims description 4
- ZSWFCLXCOIISFI-UHFFFAOYSA-N cyclopentadiene Chemical compound C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 claims description 4
- 229930007927 cymene Natural products 0.000 claims description 4
- AQEFLFZSWDEAIP-UHFFFAOYSA-N di-tert-butyl ether Chemical compound CC(C)(C)OC(C)(C)C AQEFLFZSWDEAIP-UHFFFAOYSA-N 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 4
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 claims description 4
- 229940102838 methylmethacrylate Drugs 0.000 claims description 4
- BKIMMITUMNQMOS-UHFFFAOYSA-N nonane Chemical compound CCCCCCCCC BKIMMITUMNQMOS-UHFFFAOYSA-N 0.000 claims description 4
- HFPZCAJZSCWRBC-UHFFFAOYSA-N p-cymene Chemical compound CC(C)C1=CC=C(C)C=C1 HFPZCAJZSCWRBC-UHFFFAOYSA-N 0.000 claims description 4
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 3
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 claims description 3
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 3
- 238000002955 isolation Methods 0.000 claims description 3
- QTWJRLJHJPIABL-UHFFFAOYSA-N 2-methylphenol;3-methylphenol;4-methylphenol Chemical compound CC1=CC=C(O)C=C1.CC1=CC=CC(O)=C1.CC1=CC=CC=C1O QTWJRLJHJPIABL-UHFFFAOYSA-N 0.000 claims description 2
- PMPVIKIVABFJJI-UHFFFAOYSA-N Cyclobutane Chemical compound C1CCC1 PMPVIKIVABFJJI-UHFFFAOYSA-N 0.000 claims description 2
- LVZWSLJZHVFIQJ-UHFFFAOYSA-N Cyclopropane Chemical compound C1CC1 LVZWSLJZHVFIQJ-UHFFFAOYSA-N 0.000 claims description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 claims description 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 claims description 2
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 claims description 2
- 239000001273 butane Substances 0.000 claims description 2
- WFYPICNXBKQZGB-UHFFFAOYSA-N butenyne Chemical group C=CC#C WFYPICNXBKQZGB-UHFFFAOYSA-N 0.000 claims description 2
- 229930003836 cresol Natural products 0.000 claims description 2
- 229940013361 cresol Drugs 0.000 claims description 2
- DMEGYFMYUHOHGS-UHFFFAOYSA-N heptamethylene Natural products C1CCCCCC1 DMEGYFMYUHOHGS-UHFFFAOYSA-N 0.000 claims description 2
- 229940095102 methyl benzoate Drugs 0.000 claims description 2
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims description 2
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 claims description 2
- 229960003742 phenol Drugs 0.000 claims description 2
- 229940049953 phenylacetate Drugs 0.000 claims description 2
- WLJVXDMOQOGPHL-UHFFFAOYSA-N phenylacetic acid Chemical compound OC(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-N 0.000 claims description 2
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 2
- 239000008096 xylene Substances 0.000 claims description 2
- PMJHHCWVYXUKFD-SNAWJCMRSA-N (E)-1,3-pentadiene Chemical compound C\C=C\C=C PMJHHCWVYXUKFD-SNAWJCMRSA-N 0.000 claims 1
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 claims 1
- 230000006835 compression Effects 0.000 abstract 1
- 238000007906 compression Methods 0.000 abstract 1
- 239000010408 film Substances 0.000 description 89
- 239000000463 material Substances 0.000 description 27
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 19
- 229910052799 carbon Inorganic materials 0.000 description 17
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 15
- 238000005530 etching Methods 0.000 description 12
- 238000010521 absorption reaction Methods 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 239000001257 hydrogen Substances 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 230000003287 optical effect Effects 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 7
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- 238000005452 bending Methods 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 238000006664 bond formation reaction Methods 0.000 description 3
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 239000012788 optical film Substances 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 150000001336 alkenes Chemical class 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000599 Cr alloy Inorganic materials 0.000 description 1
- 229910018487 Ni—Cr Inorganic materials 0.000 description 1
- 150000000475 acetylene derivatives Chemical class 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 238000005513 bias potential Methods 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000788 chromium alloy Substances 0.000 description 1
- BIJOYKCOMBZXAE-UHFFFAOYSA-N chromium iron nickel Chemical compound [Cr].[Fe].[Ni] BIJOYKCOMBZXAE-UHFFFAOYSA-N 0.000 description 1
- VNNRSPGTAMTISX-UHFFFAOYSA-N chromium nickel Chemical compound [Cr].[Ni] VNNRSPGTAMTISX-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000006378 damage Effects 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical compound CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- CNFQJGLKUZBUBD-TXHUMJEOSA-N hexa-1,5-diene;(3e)-hexa-1,3-diene;(4e)-hexa-1,4-diene Chemical class CC\C=C\C=C.C\C=C\CC=C.C=CCCC=C CNFQJGLKUZBUBD-TXHUMJEOSA-N 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 229910001293 incoloy Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- PMJHHCWVYXUKFD-UHFFFAOYSA-N pentadiene group Chemical class C=CC=CC PMJHHCWVYXUKFD-UHFFFAOYSA-N 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3146—Carbon layers, e.g. diamond-like layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Inorganic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【解決手段】 一実施形態では、アモルファスカーボン膜を堆積するための方法は、処理チャンバに基板を準備し、500℃よりも高い温度に上記基板を加熱し、上記加熱された基板を含む上記処理チャンバ内へ炭化水素化合物及び不活性ガスを含むガス混合物を供給し、上記加熱された基板上に、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を有するアモルファスカーボン膜を堆積することを含む。
【選択図】 図2
Description
[0001]本発明は、集積回路の製造に係り、基板上に物質を堆積するための処理に係る。より特定すると、本発明は、基板上にカーボン物質を堆積するための高温処理に係る。
[0002]集積回路は、単一チップに数百万というトランジスタ、キャパシタ及び抵抗を含むことのできる複合デバイスへと発展している。チップ設計のこのような発展は、絶えず、より高速な回路及びより高い回路密度を要求してきている。より高い回路密度を有するより高速な回路に対するこのような要求は、そのような集積回路を製造するのに使用される種々な物質に対してもそれに応じた種々な要求を課するものである。特に、集積回路構成部分の諸寸法がサブミクロンまで減ぜられてきている現在では、このような構成部分から適当な電気的性能を得るために、低抵抗率の導電性物質(例えば、銅)並びに低誘電率(約4より小さい誘電率)の絶縁物質を使用することが必要となっている。
Claims (15)
- アモルファスカーボン膜を堆積するための方法において、
処理チャンバに基板を準備するステップと、
500℃よりも高い温度に上記基板を加熱するステップと、
上記加熱された基板を含む上記処理チャンバ内へ炭化水素化合物及び不活性ガスを含むガス混合物を供給するステップと、
上記加熱された基板上に、約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を有するアモルファスカーボン膜を堆積するステップと、
を備えた方法。 - 上記炭化水素化合物は、メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン、へプタン、オクタン、ノナン、デカン、プロペン、エチレン、プロピレン、ブチレン、ペンテン、ヘキサジエン、ブタジエン、イソプレン、ペンタジエン、アセチレン、ビニルアセチレン、シクロプロパン、シクロブタン、シクロペンタン、シクロペンタジエン、トルエン、ベンジエン、スチレン、トルエン、キシレン、ピリジン、エチルベンジエン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンジエン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、t-ブチルフルフリルエーテル、α-テルピネン、サイメン、1,1,3,3,-テトラメチルブチルベンジエン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテルのうちの少なくとも1つを含む、請求項1に記載の方法。
- 上記炭化水素化合物は、プロペン又はアセチレンのうちの少なくとも1つである、請求項1に記載の方法。
- 上記基板を加熱するステップは、更に、
上記基板温度を約550℃と約750℃との間に維持する段階を含む、請求項1に記載の方法。 - 上記処理チャンバ内へ上記ガス混合物を供給するステップは、更に、
約200sccmと約3000sccmとの間の流量で上記炭化水素化合物を流す段階と、
約200sccmと約10000sccmとの間の流量で上記不活性ガスを流す段階と、
を含む、請求項1に記載の方法。 - 上記不活性ガスは、Ar又はHeのうちの少なくとも1つである、請求項1に記載の方法。
- 上記アモルファスカーボン膜を堆積するステップは、更に、
上記基板温度に応じて上記処理チャンバへ与えられる不活性ガスの割合を選択する段階を含む、請求項1に記載の方法。 - 上記アモルファスカーボン膜を堆積するステップは、更に、
上記ガス混合物を付勢するために400ワットと2000ワットとの間の高周波ソース電力を加える段階を含む、請求項1に記載の方法。 - アモルファスカーボン膜を堆積するための方法において、
処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、
上記処理チャンバ内へ、炭化水素化合物及びヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガスを含むガス混合物を流し込むステップと、
約550℃と約750℃との間の温度に上記基板を維持するステップと、
上記加熱された基板上にアモルファスカーボン膜を堆積するステップと、
を備え、不活性ガス流量は、100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の応力を上記堆積膜に生成するため、上記基板温度と整合するように選択される、方法。 - 上記炭化水素化合物は、プロパン又はアセチレンのうちの少なくとも1つである、請求項9に記載の方法。
- 上記処理チャンバ内へ上記ガス混合物を流し込むステップは、更に、
約200sccmと約3000sccmとの間の流量で上記炭化水素化合物を流す段階と、
約200sccmと約10000sccmとの間の流量で上記不活性ガスを流す段階と、
を含む、請求項9に記載の方法。 - 上記アモルファスカーボン膜を堆積するステップは、更に、
上記ガス混合物を付勢するために400ワットと2000ワットとの間の高周波ソース電力を加える段階を含む、請求項11に記載の方法。 - 上記基板上にアモルファスカーボン膜を堆積するステップは、更に、
約2トールと約10トールとの間の範囲に処理圧力を維持する段階を含む、請求項9に記載の方法。 - 上記膜スタックは、ゲート構造部、コンタクト構造部又はシャドウトレンチアイソレーション構造部を形成するのに適している、請求項9に記載の方法。
- アモルファスカーボン膜を堆積するための方法において、
処理チャンバに、金属層を含まない膜スタックを有する基板を準備するステップと、
上記処理チャンバ内へ、ヘリウム又はアルゴンガスのうちの少なくとも1つから選択された不活性ガス及びプロパン化合物又はアセチレン化合物のうちの少なくとも1つを含むガス混合物を流し込むステップと、
約550℃と約750℃との間の温度に上記基板を維持するステップと、
上記基板にアモルファスカーボン膜を堆積するステップと、
を備え、上記不活性ガスの量及び上記基板温度は、上記堆積アモルファスカーボン膜に約100メガパスカル(MPa)の引張力と約100メガパスカル(MPa)の圧縮力との間の所定の応力レベルを生成するように選択される、方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/868,859 | 2007-10-08 | ||
US11/868,859 US20090093128A1 (en) | 2007-10-08 | 2007-10-08 | Methods for high temperature deposition of an amorphous carbon layer |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009135439A true JP2009135439A (ja) | 2009-06-18 |
JP5686944B2 JP5686944B2 (ja) | 2015-03-18 |
Family
ID=40523640
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008259903A Active JP5686944B2 (ja) | 2007-10-08 | 2008-10-06 | アモルファスカーボン層の高温堆積のための方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20090093128A1 (ja) |
JP (1) | JP5686944B2 (ja) |
KR (1) | KR101161912B1 (ja) |
CN (1) | CN101407909A (ja) |
TW (1) | TWI471448B (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011066164A (ja) * | 2009-09-16 | 2011-03-31 | Tokyo Electron Ltd | マスクパターンの形成方法及び半導体装置の製造方法 |
JP2012059872A (ja) * | 2010-09-08 | 2012-03-22 | Hitachi High-Technologies Corp | 熱処理装置 |
US8993456B2 (en) | 2011-10-27 | 2015-03-31 | Tokyo Electron Limited | Film forming apparatus and method of operating the same |
JP2015073096A (ja) * | 2013-09-30 | 2015-04-16 | ラム リサーチ コーポレーションLam Research Corporation | パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク |
US9279183B2 (en) | 2011-10-27 | 2016-03-08 | Tokyo Electron Limited | Film forming apparatus and method of operating the same |
US9337015B2 (en) | 2012-04-20 | 2016-05-10 | Hitachi Kokusai Electric Inc. | Method of manufacturing a semiconductor device, method of processing a substrate, substrate processing apparatus, and recording medium |
WO2016157787A1 (ja) * | 2015-03-27 | 2016-10-06 | 日本ゼオン株式会社 | カーボンナノチューブを含む炭素ナノ構造体の製造方法 |
JP2021504967A (ja) * | 2017-12-01 | 2021-02-15 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | エッチング選択性の高いアモルファスカーボン膜 |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100304504A1 (en) * | 2009-05-27 | 2010-12-02 | Canon Anelva Corporation | Process and apparatus for fabricating magnetic device |
JP5411171B2 (ja) | 2010-02-05 | 2014-02-12 | 東京エレクトロン株式会社 | アモルファスカーボン膜を含む積層構造を形成する方法 |
US8492278B2 (en) * | 2010-03-30 | 2013-07-23 | Micron Technology, Inc. | Method of forming a plurality of spaced features |
US8361906B2 (en) * | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
JP5524132B2 (ja) * | 2010-07-15 | 2014-06-18 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置 |
US20120043518A1 (en) * | 2010-08-18 | 2012-02-23 | Applied Materials, Inc. | Variable resistance memory element and fabrication methods |
US8679987B2 (en) * | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
TWI764002B (zh) * | 2018-06-15 | 2022-05-11 | 美商應用材料股份有限公司 | 形成非晶碳膜及蝕刻基板之方法 |
CN111584725A (zh) * | 2020-05-15 | 2020-08-25 | 武汉华星光电半导体显示技术有限公司 | Oled的面板及其制造方法 |
KR20220006686A (ko) * | 2020-07-08 | 2022-01-18 | 삼성디스플레이 주식회사 | 표시 장치 및 그 제조 방법 |
EP4148162A1 (de) * | 2021-09-13 | 2023-03-15 | Behzad Sahabi | Beschichtungsverfahren und vorrichtung zum ausbilden einer barriereschicht zur erhöhung der impermeabilität und korrosionsbeständigkeit, beschichtung und gebinde zur einbettung und versiegelung radioaktiver körper für die endlagerung, sowie verfahren zur herstellung des gebindes |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005045053A (ja) * | 2003-07-23 | 2005-02-17 | Elpida Memory Inc | 半導体装置の製造方法 |
WO2005036627A1 (en) * | 2003-10-03 | 2005-04-21 | Applied Materials, Inc. | Absorber layer for dynamic surface annealing processing |
Family Cites Families (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5262262A (en) * | 1985-05-31 | 1993-11-16 | Fuji Xerox Co., Ltd. | Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer |
US4975144A (en) * | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
JPH07243064A (ja) * | 1994-01-03 | 1995-09-19 | Xerox Corp | 基板清掃方法 |
US5461003A (en) * | 1994-05-27 | 1995-10-24 | Texas Instruments Incorporated | Multilevel interconnect structure with air gaps formed between metal leads |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
JP2956571B2 (ja) * | 1996-03-07 | 1999-10-04 | 日本電気株式会社 | 半導体装置 |
US5789320A (en) * | 1996-04-23 | 1998-08-04 | International Business Machines Corporation | Plating of noble metal electrodes for DRAM and FRAM |
US5759913A (en) * | 1996-06-05 | 1998-06-02 | Advanced Micro Devices, Inc. | Method of formation of an air gap within a semiconductor dielectric by solvent desorption |
KR100205318B1 (ko) * | 1996-10-11 | 1999-07-01 | 구본준 | 자유전율의 절연막 제조방법 |
US6310300B1 (en) * | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
RU2204181C2 (ru) * | 1997-01-21 | 2003-05-10 | Джорджиэ Тек Рисеч Копэрейшн | Полупроводниковое устройство (варианты) и способ формирования воздушных зазоров внутри структуры (варианты) |
JP2962272B2 (ja) * | 1997-04-18 | 1999-10-12 | 日本電気株式会社 | 半導体装置の製造方法 |
EP0881668A3 (en) * | 1997-05-28 | 2000-11-15 | Dow Corning Toray Silicone Company, Ltd. | Deposition of an electrically insulating thin film with a low dielectric constant |
US6428894B1 (en) * | 1997-06-04 | 2002-08-06 | International Business Machines Corporation | Tunable and removable plasma deposited antireflective coatings |
US6008140A (en) * | 1997-08-13 | 1999-12-28 | Applied Materials, Inc. | Copper etch using HCI and HBr chemistry |
US6333255B1 (en) * | 1997-08-21 | 2001-12-25 | Matsushita Electronics Corporation | Method for making semiconductor device containing low carbon film for interconnect structures |
US6203898B1 (en) * | 1997-08-29 | 2001-03-20 | 3M Innovatave Properties Company | Article comprising a substrate having a silicone coating |
US6035803A (en) * | 1997-09-29 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for controlling the deposition of a fluorinated carbon film |
US6323119B1 (en) * | 1997-10-10 | 2001-11-27 | Applied Materials, Inc. | CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application |
US6211065B1 (en) * | 1997-10-10 | 2001-04-03 | Applied Materials, Inc. | Method of depositing and amorphous fluorocarbon film using HDP-CVD |
US6624064B1 (en) * | 1997-10-10 | 2003-09-23 | Applied Materials, Inc. | Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application |
US5981000A (en) * | 1997-10-14 | 1999-11-09 | International Business Machines Corporation | Method for fabricating a thermally stable diamond-like carbon film |
US6057226A (en) * | 1997-11-25 | 2000-05-02 | Intel Corporation | Air gap based low dielectric constant interconnect structure and method of making same |
US6103305A (en) * | 1997-11-26 | 2000-08-15 | Sandia Corporation | Method of forming a stress relieved amorphous tetrahedrally-coordinated carbon film |
US6098568A (en) * | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6143476A (en) * | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
TW505984B (en) * | 1997-12-12 | 2002-10-11 | Applied Materials Inc | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures |
US6291334B1 (en) * | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
JP3507322B2 (ja) * | 1997-12-24 | 2004-03-15 | キヤノン株式会社 | 電子写真装置 |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US5986344A (en) * | 1998-04-14 | 1999-11-16 | Advanced Micro Devices, Inc. | Anti-reflective coating layer for semiconductor device |
US6184572B1 (en) * | 1998-04-29 | 2001-02-06 | Novellus Systems, Inc. | Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices |
US5882830A (en) * | 1998-04-30 | 1999-03-16 | Eastman Kodak Company | Photoconductive elements having multilayer protective overcoats |
DE19833491A1 (de) * | 1998-07-24 | 2000-02-03 | Siemens Ag | Niederinduktive Verschienung für einen Dreipunkt-Phasenbaustein |
JP2000106396A (ja) * | 1998-09-29 | 2000-04-11 | Sharp Corp | 半導体装置の製造方法 |
US6635583B2 (en) * | 1998-10-01 | 2003-10-21 | Applied Materials, Inc. | Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating |
US6140224A (en) * | 1999-04-19 | 2000-10-31 | Worldiwide Semiconductor Manufacturing Corporation | Method of forming a tungsten plug |
KR100307629B1 (ko) * | 1999-04-30 | 2001-09-26 | 윤종용 | 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법 |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6423384B1 (en) * | 1999-06-25 | 2002-07-23 | Applied Materials, Inc. | HDP-CVD deposition of low dielectric constant amorphous carbon film |
US6153935A (en) * | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6541367B1 (en) * | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US6413852B1 (en) * | 2000-08-31 | 2002-07-02 | International Business Machines Corporation | Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material |
US6380106B1 (en) * | 2000-11-27 | 2002-04-30 | Chartered Semiconductor Manufacturing Inc. | Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures |
TW462122B (en) * | 2000-12-18 | 2001-11-01 | United Microelectronics Corp | Air gap semiconductor structure and the manufacturing method thereof |
TW476135B (en) * | 2001-01-09 | 2002-02-11 | United Microelectronics Corp | Manufacture of semiconductor with air gap |
US6541842B2 (en) * | 2001-07-02 | 2003-04-01 | Dow Corning Corporation | Metal barrier behavior by SiC:H deposition on porous materials |
US7226853B2 (en) * | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US6884733B1 (en) * | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US20040038537A1 (en) * | 2002-08-20 | 2004-02-26 | Wei Liu | Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm |
US20040229470A1 (en) * | 2003-05-14 | 2004-11-18 | Applied Materials, Inc. | Method for etching an aluminum layer using an amorphous carbon mask |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
-
2007
- 2007-10-08 US US11/868,859 patent/US20090093128A1/en not_active Abandoned
-
2008
- 2008-10-06 JP JP2008259903A patent/JP5686944B2/ja active Active
- 2008-10-08 CN CNA200810211588XA patent/CN101407909A/zh active Pending
- 2008-10-08 KR KR1020080098739A patent/KR101161912B1/ko active IP Right Grant
- 2008-10-08 TW TW97138750A patent/TWI471448B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005045053A (ja) * | 2003-07-23 | 2005-02-17 | Elpida Memory Inc | 半導体装置の製造方法 |
WO2005036627A1 (en) * | 2003-10-03 | 2005-04-21 | Applied Materials, Inc. | Absorber layer for dynamic surface annealing processing |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011066164A (ja) * | 2009-09-16 | 2011-03-31 | Tokyo Electron Ltd | マスクパターンの形成方法及び半導体装置の製造方法 |
JP2012059872A (ja) * | 2010-09-08 | 2012-03-22 | Hitachi High-Technologies Corp | 熱処理装置 |
US8993456B2 (en) | 2011-10-27 | 2015-03-31 | Tokyo Electron Limited | Film forming apparatus and method of operating the same |
US9279183B2 (en) | 2011-10-27 | 2016-03-08 | Tokyo Electron Limited | Film forming apparatus and method of operating the same |
US9337015B2 (en) | 2012-04-20 | 2016-05-10 | Hitachi Kokusai Electric Inc. | Method of manufacturing a semiconductor device, method of processing a substrate, substrate processing apparatus, and recording medium |
JP2015073096A (ja) * | 2013-09-30 | 2015-04-16 | ラム リサーチ コーポレーションLam Research Corporation | パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク |
WO2016157787A1 (ja) * | 2015-03-27 | 2016-10-06 | 日本ゼオン株式会社 | カーボンナノチューブを含む炭素ナノ構造体の製造方法 |
JPWO2016157787A1 (ja) * | 2015-03-27 | 2018-01-18 | 日本ゼオン株式会社 | カーボンナノチューブを含む炭素ナノ構造体の製造方法 |
JP2021504967A (ja) * | 2017-12-01 | 2021-02-15 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | エッチング選択性の高いアモルファスカーボン膜 |
JP7326275B2 (ja) | 2017-12-01 | 2023-08-15 | アプライド マテリアルズ インコーポレイテッド | エッチング選択性の高いアモルファスカーボン膜 |
Also Published As
Publication number | Publication date |
---|---|
TWI471448B (zh) | 2015-02-01 |
KR101161912B1 (ko) | 2012-07-09 |
JP5686944B2 (ja) | 2015-03-18 |
TW200938651A (en) | 2009-09-16 |
US20090093128A1 (en) | 2009-04-09 |
CN101407909A (zh) | 2009-04-15 |
KR20090036082A (ko) | 2009-04-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5686944B2 (ja) | アモルファスカーボン層の高温堆積のための方法 | |
JP7301931B2 (ja) | ハードマスク及びその他のパターニング応用のための高密度低温炭素膜 | |
CN108140545B (zh) | 超高模量与蚀刻选择性的硼-碳硬掩模膜 | |
JP2013540359A (ja) | 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積 | |
KR102513424B1 (ko) | 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스 | |
US20150371851A1 (en) | Amorphous carbon deposition process using dual rf bias frequency applications | |
US20070286954A1 (en) | Methods for low temperature deposition of an amorphous carbon layer | |
US20150228463A1 (en) | Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications | |
US11664226B2 (en) | Methods for producing high-density carbon films for hardmasks and other patterning applications | |
US11664214B2 (en) | Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications | |
JP2023532883A (ja) | ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法 | |
WO2022031475A1 (en) | Deposition of low-stress carbon-containing layers |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111004 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120704 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120710 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20120925 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121009 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121012 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121108 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121113 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121120 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130122 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130522 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20130530 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20130712 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20131025 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20131031 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141202 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150121 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5686944 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |