CN102915925A - 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 - Google Patents
具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 Download PDFInfo
- Publication number
- CN102915925A CN102915925A CN2012103961444A CN201210396144A CN102915925A CN 102915925 A CN102915925 A CN 102915925A CN 2012103961444 A CN2012103961444 A CN 2012103961444A CN 201210396144 A CN201210396144 A CN 201210396144A CN 102915925 A CN102915925 A CN 102915925A
- Authority
- CN
- China
- Prior art keywords
- process chamber
- substrate
- plasma
- film
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 125
- 229910003481 amorphous carbon Inorganic materials 0.000 title claims abstract description 59
- 238000000151 deposition Methods 0.000 title abstract description 69
- 239000000758 substrate Substances 0.000 claims abstract description 106
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 66
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims abstract description 65
- 239000004215 Carbon black (E152) Substances 0.000 claims abstract description 49
- 229930195733 hydrocarbon Natural products 0.000 claims abstract description 49
- 238000012545 processing Methods 0.000 claims abstract description 36
- 229910052786 argon Inorganic materials 0.000 claims abstract description 33
- 229910052743 krypton Inorganic materials 0.000 claims abstract description 5
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims abstract description 5
- 229910052724 xenon Inorganic materials 0.000 claims abstract description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims abstract description 5
- 230000008569 process Effects 0.000 claims description 90
- 239000007789 gas Substances 0.000 claims description 86
- 239000001307 helium Substances 0.000 claims description 26
- 229910052734 helium Inorganic materials 0.000 claims description 26
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 23
- 239000001257 hydrogen Substances 0.000 claims description 20
- 229910052739 hydrogen Inorganic materials 0.000 claims description 20
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 16
- 238000005229 chemical vapour deposition Methods 0.000 claims description 6
- 150000002431 hydrogen Chemical class 0.000 claims description 4
- 125000002723 alicyclic group Chemical group 0.000 claims description 3
- 150000004945 aromatic hydrocarbons Chemical class 0.000 claims description 3
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 claims description 2
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 239000002245 particle Substances 0.000 abstract description 16
- 229910052756 noble gas Inorganic materials 0.000 abstract 4
- 230000008021 deposition Effects 0.000 description 60
- 239000000463 material Substances 0.000 description 57
- 239000003085 diluting agent Substances 0.000 description 37
- 238000005530 etching Methods 0.000 description 27
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 21
- 238000005137 deposition process Methods 0.000 description 15
- 238000001259 photo etching Methods 0.000 description 13
- 230000035945 sensitivity Effects 0.000 description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 239000012159 carrier gas Substances 0.000 description 10
- 238000010790 dilution Methods 0.000 description 10
- 239000012895 dilution Substances 0.000 description 10
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 150000002500 ions Chemical class 0.000 description 9
- 238000010521 absorption reaction Methods 0.000 description 8
- 230000008901 benefit Effects 0.000 description 8
- 238000003113 dilution method Methods 0.000 description 8
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 8
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 8
- -1 propylene, butylene Chemical group 0.000 description 7
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 6
- 239000007791 liquid phase Substances 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000000877 morphologic effect Effects 0.000 description 6
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 6
- 235000012239 silicon dioxide Nutrition 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 230000006872 improvement Effects 0.000 description 5
- 239000012705 liquid precursor Substances 0.000 description 5
- 230000003287 optical effect Effects 0.000 description 5
- 239000012071 phase Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000008676 import Effects 0.000 description 4
- 239000012528 membrane Substances 0.000 description 4
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 4
- 239000002105 nanoparticle Substances 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- 230000008016 vaporization Effects 0.000 description 4
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- 150000001336 alkenes Chemical class 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000010891 electric arc Methods 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- DHIVLKMGKIZOHF-UHFFFAOYSA-N 1-fluorooctane Chemical class CCCCCCCCF DHIVLKMGKIZOHF-UHFFFAOYSA-N 0.000 description 2
- OEPRBXUJOQLYID-UHFFFAOYSA-N 1-fluoropentane Chemical compound CCCCCF OEPRBXUJOQLYID-UHFFFAOYSA-N 0.000 description 2
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- 241001076960 Argon Species 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 description 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 2
- 150000001349 alkyl fluorides Chemical class 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 235000013876 argon Nutrition 0.000 description 2
- 150000001491 aromatic compounds Chemical class 0.000 description 2
- 239000001273 butane Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N cyclopentadiene Chemical compound C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- 238000012940 design transfer Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 239000000428 dust Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 230000001771 impaired effect Effects 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 2
- 239000011859 microparticle Substances 0.000 description 2
- 230000005012 migration Effects 0.000 description 2
- 238000013508 migration Methods 0.000 description 2
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- HFPZCAJZSCWRBC-UHFFFAOYSA-N p-cymene Chemical compound CC(C)C1=CC=C(C)C=C1 HFPZCAJZSCWRBC-UHFFFAOYSA-N 0.000 description 2
- 239000013618 particulate matter Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 description 2
- PMJHHCWVYXUKFD-SNAWJCMRSA-N (E)-1,3-pentadiene Chemical compound C\C=C\C=C PMJHHCWVYXUKFD-SNAWJCMRSA-N 0.000 description 1
- ZZAWSLKMIFIDFH-UHFFFAOYSA-N 1,1,1,2,2,3-hexafluorooctane Chemical compound CCCCCC(F)C(F)(F)C(F)(F)F ZZAWSLKMIFIDFH-UHFFFAOYSA-N 0.000 description 1
- LVGUZGTVOIAKKC-UHFFFAOYSA-N 1,1,1,2-tetrafluoroethane Chemical compound FCC(F)(F)F LVGUZGTVOIAKKC-UHFFFAOYSA-N 0.000 description 1
- ISMIYCUQQQLPBZ-UHFFFAOYSA-N 1,1,1,7,7,7-hexafluoroheptane Chemical compound FC(CCCCCC(F)(F)F)(F)F ISMIYCUQQQLPBZ-UHFFFAOYSA-N 0.000 description 1
- GQYLIJMTXRHXDD-UHFFFAOYSA-N 1,1,1,9,9,9-hexafluorononane Chemical compound FC(F)(F)CCCCCCCC(F)(F)F GQYLIJMTXRHXDD-UHFFFAOYSA-N 0.000 description 1
- LDRPULCXZDDSGE-UHFFFAOYSA-N 1,1,1-trifluorobutane Chemical compound CCCC(F)(F)F LDRPULCXZDDSGE-UHFFFAOYSA-N 0.000 description 1
- KDWQLICBSFIDRM-UHFFFAOYSA-N 1,1,1-trifluoropropane Chemical compound CCC(F)(F)F KDWQLICBSFIDRM-UHFFFAOYSA-N 0.000 description 1
- CPLSOYONVLSMGL-UHFFFAOYSA-N 1,1-difluorobutane Chemical compound CCCC(F)F CPLSOYONVLSMGL-UHFFFAOYSA-N 0.000 description 1
- RWRHXNXYHWXCCL-UHFFFAOYSA-N 1,1-difluorodecane Chemical compound CCCCCCCCCC(F)F RWRHXNXYHWXCCL-UHFFFAOYSA-N 0.000 description 1
- GOYDNIKZWGIXJT-UHFFFAOYSA-N 1,2-difluorobenzene Chemical compound FC1=CC=CC=C1F GOYDNIKZWGIXJT-UHFFFAOYSA-N 0.000 description 1
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical compound CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- JRHNUZCXXOTJCA-UHFFFAOYSA-N 1-fluoropropane Chemical compound CCCF JRHNUZCXXOTJCA-UHFFFAOYSA-N 0.000 description 1
- HIXDQWDOVZUNNA-UHFFFAOYSA-N 2-(3,4-dimethoxyphenyl)-5-hydroxy-7-methoxychromen-4-one Chemical compound C=1C(OC)=CC(O)=C(C(C=2)=O)C=1OC=2C1=CC=C(OC)C(OC)=C1 HIXDQWDOVZUNNA-UHFFFAOYSA-N 0.000 description 1
- BKOOMYPCSUNDGP-UHFFFAOYSA-N 2-methylbut-2-ene Chemical group CC=C(C)C BKOOMYPCSUNDGP-UHFFFAOYSA-N 0.000 description 1
- XRPKRSLLVXAECN-UHFFFAOYSA-N CCCC.[F] Chemical compound CCCC.[F] XRPKRSLLVXAECN-UHFFFAOYSA-N 0.000 description 1
- LVFQECWAICSOFM-UHFFFAOYSA-N CCCCCCCCC.[F] Chemical compound CCCCCCCCC.[F] LVFQECWAICSOFM-UHFFFAOYSA-N 0.000 description 1
- FDWLNHBKVVUYOX-UHFFFAOYSA-N CCCCCCCCCC.[F] Chemical compound CCCCCCCCCC.[F] FDWLNHBKVVUYOX-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PMPVIKIVABFJJI-UHFFFAOYSA-N Cyclobutane Chemical compound C1CCC1 PMPVIKIVABFJJI-UHFFFAOYSA-N 0.000 description 1
- LVZWSLJZHVFIQJ-UHFFFAOYSA-N Cyclopropane Chemical compound C1CC1 LVZWSLJZHVFIQJ-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- 244000287680 Garcinia dulcis Species 0.000 description 1
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BZHJMEDXRYGGRV-UHFFFAOYSA-N Vinyl chloride Chemical compound ClC=C BZHJMEDXRYGGRV-UHFFFAOYSA-N 0.000 description 1
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 239000003708 ampul Substances 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 230000000740 bleeding effect Effects 0.000 description 1
- WFYPICNXBKQZGB-UHFFFAOYSA-N butenyne Chemical group C=CC#C WFYPICNXBKQZGB-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 150000001896 cresols Chemical class 0.000 description 1
- 229930007927 cymene Natural products 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical compound CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- UHCBBWUQDAVSMS-UHFFFAOYSA-N fluoroethane Chemical compound CCF UHCBBWUQDAVSMS-UHFFFAOYSA-N 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 150000002240 furans Chemical class 0.000 description 1
- 125000002425 furfuryl group Chemical group C(C1=CC=CO1)* 0.000 description 1
- 238000012685 gas phase polymerization Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000000266 injurious effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- PYLWMHQQBFSUBP-UHFFFAOYSA-N monofluorobenzene Chemical compound FC1=CC=CC=C1 PYLWMHQQBFSUBP-UHFFFAOYSA-N 0.000 description 1
- OCKPCBLVNKHBMX-UHFFFAOYSA-N n-butyl-benzene Natural products CCCCC1=CC=CC=C1 OCKPCBLVNKHBMX-UHFFFAOYSA-N 0.000 description 1
- DIOQZVSQGTUSAI-UHFFFAOYSA-N n-butylhexane Natural products CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- ZCYXXKJEDCHMGH-UHFFFAOYSA-N nonane Chemical compound CCCC[CH]CCCC ZCYXXKJEDCHMGH-UHFFFAOYSA-N 0.000 description 1
- BKIMMITUMNQMOS-UHFFFAOYSA-N normal nonane Natural products CCCCCCCCC BKIMMITUMNQMOS-UHFFFAOYSA-N 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- GTLACDSXYULKMZ-UHFFFAOYSA-N pentafluoroethane Chemical compound FC(F)C(F)(F)F GTLACDSXYULKMZ-UHFFFAOYSA-N 0.000 description 1
- MSSNHSVIGIHOJA-UHFFFAOYSA-N pentafluoropropane Chemical compound FC(F)CC(F)(F)F MSSNHSVIGIHOJA-UHFFFAOYSA-N 0.000 description 1
- RGSFGYAAUTVSQA-UHFFFAOYSA-N pentamethylene Natural products C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 1
- 125000004817 pentamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 229960003742 phenol Drugs 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 229940049953 phenylacetate Drugs 0.000 description 1
- WLJVXDMOQOGPHL-UHFFFAOYSA-N phenylacetic acid Chemical compound OC(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-N 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 231100000572 poisoning Toxicity 0.000 description 1
- 230000000607 poisoning effect Effects 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical compound FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3146—Carbon layers, e.g. diamond-like layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Carbon And Carbon Compounds (AREA)
Abstract
一种在基板上沉积无定形碳层的方法包括下列步骤:将基板放置在处理室中;将烃源导入处理室;将重稀有气体导入处理室;以及在处理室中产生等离子体。重稀有气体选自由氩、氪、氙、及其混合物所组成的群组,并且稀有气体的摩尔流速大于烃源的摩尔流速。该方法可包括后沉积终止步骤,其中烃源及稀有气体的流动并终止,并且将等离子体维持在处理室中一段时间以自处理室中移除粒子。
Description
本发明专利申请是国际申请号为PCT/US2007/071923,国际申请日为2007年6月22日,进入中国国家阶段的申请号为200780024531.2,名称为“具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法”的发明专利申请的分案申请。
技术领域
本发明的实施例一般涉及集成电路的制造,特别涉及无定形碳层在半导体基板上的沉积。
背景技术
集成电路已发展成复杂的器件,其在单一芯片上可包括数百万计的晶体管、电容器以及电阻器。芯片设计的发展不停地要求更高速的电路系统以及更高的电路密度。对具有更高电路密度的更高速电路的需求对用以制造这种集成电路的材料提出了相应的要求。尤其是,当集成电路组件的尺寸被缩小至亚微米尺寸时,其需要使用不仅是低电阻导电材料(例如铜)以增加器件的电学性能表现,且亦需要使用低介电常数的绝缘材料(一般称为低k材料)。低k材料一般的介电常数低于4.0。
制造包括仅具有少量或是无表面缺陷或特征结构变形的低k材料的器件是有困难的。低k介电材料通常为多孔的,且在接下来的处理步骤中容易被刮伤或受损,因此增加在基板表面形成缺陷的可能性。低k材料一般是易碎的,并可能在常规的研磨处理(如:化学机械研磨;CMP)下变形。限制或减少低k材料的表面缺陷及变形的一个解决办法是在图案化及蚀刻之前,先在暴露的低k材料上沉积一硬质掩模(hardmask)。硬质掩模避免易碎的低k材料的受损及变形。此外,硬质掩模层用作一蚀刻掩模,并与常规平版印刷(lithographic)技术结合,用以避免低k材料在蚀刻的过程中被移除。
一般地,硬质掩模是一中间氧化层,例如二氧化硅或氮化硅。然而,某些器件结构已经包括有二氧化硅及/或氮化硅层,例如镶嵌结构。所以,这种器件结构无法利用二氧化硅或氮化硅硬质掩模来作为蚀刻掩模以形成图案,因为在硬质掩模及其下方材料之间存在有很小的蚀刻选择性或无蚀刻选择性,也就是说,硬质掩模的移除将对下方的层造成无法接受的损害。为了用作氧化层的蚀刻掩模(例如:二氧化硅或氮化硅),材料必须对那些氧化层具有良好的蚀刻选择性。含氢的无定形碳(amorphous hydrogenated carbon)是针对二氧化硅或氮化硅材料而用作硬质掩模的材料。
含氢的无定形碳,也称的为无定形碳,并且以a-C:H来代表,其实质上是缺乏长程晶序(long-range crystalline order)的碳材料,其可包含相当大的氢含量,例如在大约10至45原子百分比的等级。因为其所具有的化学惰性、光学透明度、以及良好机械特性,a-C:H在半导体应用上被用作硬质掩模材料。虽然可利用各种技术沉积a-C:H膜,但由于成本效率及膜特性的可调性,所以广泛地使用等离子体辅助化学气相沉积法(Plasma Enhanced Chemical VaporDeposition,PECVD)。在典型的PECVD处理中,烃来源(例如:夹带于载气中的气相烃或液相烃的蒸气)被导入PECVD处理室中。等离子体引发气体(一般为氦)亦被导入处理室中。等离子体接着在处理室中被引发,用以产生激发态的CH-自由基。激发态的CH-自由基与放置在处理室中基板的表面化学地结合,用以在其上形成所需的a-C:H膜。
图1A-1E说明了在并入作为硬质掩模的a-C:H层的集成电路制造顺序中的不同阶段时的基板100的剖视示意图。基板结构150代表基板100和形成在基板100上的其它材料层。图1A说明具有已按常规形成于其上的材料层102的基板结构150的剖视示意图。材料层102可以是低k材料及/或氧化物,例如二氧化硅(SiO2)。
图1B描述沉积在图1A的基板结构150上的无定形碳层104。无定形碳层104是通过常规的方法(例如通过PECVD)而形成在基板结构150上的。无定形碳层104的厚度根据处理的特定阶段而可变动。一般来说,无定形碳层104的厚度介于约500埃至约10000埃范围间。根据在制造顺序中使用的对能量变化灵敏(energy sensitive)的光刻材料108的蚀刻化学性,在形成对能量变化灵敏的光刻材料108之前,在无定形碳层104上可先形成一任选的覆盖层(未显示)。当其中的图案被转移时,任选的覆盖层用作无定形碳层104的掩模,并且保护无定形碳层104远离对能量变化灵敏的光刻材料108。
如描述于图1B,对能量变化灵敏的光刻材料108形成在无定形碳层104上。对能量变化灵敏的光刻材料108层可以旋转涂布在基板上,且厚度为介于约2000埃至约6000埃之间。大部分的对能量变化灵敏的材料对于波长小于约450纳米的紫外光(UV)很灵敏,且在某些应用中对于波长为245纳米或193纳米的紫外光很灵敏。
通过使对能量变化灵敏的光刻材料108透过图案化装置(例如:掩模110)而暴露在紫外光130下,并且接着在一适当的显影剂中使对能量变化灵敏的光刻材料108显影,就将图案引入对能量变化灵敏的光刻材料108的层内。在对能量变化灵敏的光刻材料108显影之后,由孔洞140构成的所需图案出现在对能量变化灵敏的光刻材料108上,如图1C所示。
接着,如图1D所示,利用对能量变化灵敏的光刻材料108来作为掩模,则可将定义在对能量变化灵敏的光刻材料108上的图案转移穿过无定形碳层104。使用适当的化学蚀刻剂,以在对能量变化灵敏的光刻材料108及材料层102上蚀刻无定形碳层104,以使孔洞140延伸至材料层102的表面。适当的化学蚀刻剂包括臭氧、氧气或氨等离子体。
如图1E所示,接着利用无定形碳层104作为一硬质掩模而将图案转移穿过材料层102。在这个处理步骤中,使用蚀刻剂,以在无定形碳层104上选择性地移除材料层102,例如干蚀刻,即非反应性等离子体蚀刻。在材料层102经图案化之后,无定形碳层104可选择性地从基板100上剥除。在一制造顺序的特殊例子中,定义在a-C:H硬质掩模中的图案被合并至集成电路的结构中,例如一镶嵌结构。镶嵌结构一般用以在集成电路上形成金属互连。
使用a-C:H硬质掩模层的器件制造商需要满足二个关键的需求:(1)在下方材料干蚀刻的期间,硬质掩模的高选择性,以及(2)为了平版印刷重合(lithographic registration)的准确性,在可见光光谱中的高光学透明度。「干蚀刻」一词通常指蚀刻处理中材料并非通过浸没于化学溶剂中而溶解,且包括例如反应性离子蚀刻、溅射蚀刻、以及气相蚀刻的方法。进一步,针对硬质掩模层沉积在具有形态特征结构(topographic feature)的基板上的应用,对于a-C:H硬质掩模的额外的需求是:硬质掩模层要保形地(conformally)覆盖该形态特征结构的全部表面。
往回参照图1A-E,为了确保无定形碳层104在干蚀刻的期间可适当地保护材料层102,因此重要的是无定形碳层104相对于材料层102而具有相对高的蚀刻选择性或移除率比率。一般来说,在干蚀刻处理期间,在无定形碳层104及材料层102之间的蚀刻选择性期望是至少约10:1或更高,换言之,材料层102以快于无定形碳层104十倍的速度被蚀刻。这样一来,当通过干蚀刻处理形成孔洞140时,由无定形碳层104形成的硬质掩模层可保护材料层102的区域不会被蚀刻或是受损。
另外,在某些应用中,例如图1B中所示的平版印刷处理步骤,期望硬质掩模对于光学照射(即光波长介于约400纳米及约700纳米之间)具有高度透明度。对于特定光波长的透明度允许更准确的平版印刷重合,其接着允许掩模110与基板100上特定位置的非常精确的对准。材料对于给定光频率的透明度一般被量化成材料的吸收系数,亦称为消光系数。举例来说,对于大约6000埃至7000埃厚的a-C:H层,在用于平版印刷重合的光频率下(例如630纳米),a-C:H层应具有0.12或更小的吸收系数,否则,掩模110可能无法准确地对准。可以通过调整沉积参数来达到制造具有0.12或更小吸收率的层,该些参数例如基板温度或等离子体离子能量。
然而,一般在产生拥有高透明度的a-C:H膜及在产生拥有高蚀刻选择性的a-C:H膜这两方面之间总有权衡。具有较好蚀刻选择性的无定形碳层一般具有较差的透明度。举例来说,当把沉积温度作为调整的因子时,在相对高的温度(即,大于500℃)下沉积的a-C:H膜一般具有良好的蚀刻选择性但却具有低透明度。降低沉积温度(特别是低于400℃)可增加a-C:H膜的透明度,但却导致对膜较高的蚀刻率且因此具有较小的蚀刻选择性。
如上所提到的,在某些应用中,可以在具有一种下方形态特征的基板上沉积硬质掩模层,例如,该形态特征可以是用以对准图案化处理的对准点(alignment key)。在这些应用中,亦期望a-C:H层与下方形态特征是高度保形的。图2说明了具有特征结构201及形成于其上的非保形无定形碳层202的基板200的剖视示意图。因为非保形的无定形碳层202并未完整地覆盖特征结构201的侧壁204,因此接下来的蚀刻处理可能会造成不期望的侧壁204腐蚀现象。缺乏由非保形的无定形碳层202完整覆盖的侧壁204也可能导致在非保形碳层202下方的材料的光刻胶侵蚀(photoresist poisoning),已知这会损坏电子器件。层的保形性(conformality)一般由沉积在特征结构的侧壁上层的平均厚度与在基板的区域上、或上表面上相同的沉积层的平均厚度之间的比率来量化。
再者,重要的是,硬质掩模层的形成不会在其它方面有害地影响半导体基板。例如,如果在硬质掩模的形成期间产生可能损害基板的大量粒子,或是形成在基板上的器件会被过度地加热,其导致的问题可能远超过任何的益处。
因此,需要一种有益于集成电路制造的沉积材料层的方法,其对于氧化物具有良好的蚀刻选择性、在可见光光谱中具有高光学透明度、可以保形地沉积在具有形态特征结构的基板上、以及可以在相对低温下制造而且不会产生大量的粒子。
发明内容
本发明的实施例提供一种用以在基板上沉积无定形碳层的方法。根据第一实施例,该方法包括:将基板放置在处理室中;将烃源(hydrocarbon source)导入处理室中;将重稀有气体导入处理室中;以及在处理室中产生等离子体。重稀有气体选自由氩气、氪气、氙气、及其混合物所组成的群组,并且稀有气体的摩尔流速大于烃源的摩尔流速。可包括一个后沉积终止步骤,其中烃源及稀有气体的流动被终止,并且将等离子体维持在处理室中一段时间,用以自处理室移除粒子。亦可以在后沉积终止步骤期间将氢气导入处理室中。
根据第二实施例,该方法包括:将基板放置在处理室中;将烃源导入处理室中;将烃源的稀释气体导入处理室中;以及在处理室中产生等离子体。进入处理室的稀释气体的摩尔流速为烃源的摩尔流速的约2倍至约40倍之间。在此方法中也可包括类似于第一实施例的后沉积终止步骤。
根据第三实施例,该方法包括:将基板放置在处理室中;将烃源导入处理室中;将烃源的稀释气体导入处理室中;在处理室中产生等离子体;以及在处理室中引发等离子体之后,将处理室中的压力维持在约2托(Torr)至8托。无定形碳层的密度介于约1.2g/cc至1.8g/cc之间,且无定形碳层在可见光光谱中的吸收系数可小于约0.10。
附图说明
为了让本发明的上述特征更明显易懂,可配合参考实施例说明,其中一部分如附图所示。须注意的是,虽然附图揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何本领域技术人员,当可作各种更动与润饰而得等效实施例。
图1A-1E(现有技术)说明了在并入无定形碳层作为硬质掩模的集成电路制造顺序中基板在不同阶段的剖视示意图。
图2(现有技术)说明了具有形成于其上的特征结构及非保形无定形碳层的基板的剖视示意图。
图3为标绘无定形碳膜的膜密度及蚀刻选择性之间的关系图。
图3A为表示基板处理系统的示意图,其可根据本发明的实施例而用于实行无定形碳层沉积。
图4为说明氩稀释气体对于无定形碳膜密度的影响的图。
图5说明了稀释气体种类对生成的膜密度的影响。
图6说明了较低的烃流速对膜密度的影响。
图7说明了处理室压力对膜密度的影响。
图8说明了沉积速率的改善,这是通过在沉积无定形碳膜的同时引入重稀有气体作为高流速稀释剂而实现的。
图9说明了具有形成于其上的特征结构及无定形碳层的基板的截面示意图。
为了更清楚地表示,在合适的地方使用相同的符号来标出在各个图之间共同的元素。
具体实施方式
发明人已得知,不论沉积a-C:H膜所使用的烃源如何,a-C:H膜密度及蚀刻选择性之间都存在有强大的关联性。图3为标绘四种沉积在不同基板上的不同a-C:H膜301A-D的多个样本的膜密度及蚀刻选择性之间的关系图。蚀刻选择性是这样一种因素,通过此因素,相比于选定的a-C:H膜而对下方材料进行蚀刻,也就是说,蚀刻选择性为10则意指下方材料按照比a-C:H膜快十倍的速度被移除。每一个膜301A-D由不同前驱物及处理条件而形成。数据显示不论前驱物为何,每一个膜的密度与蚀刻选择性之间基本上为线性相关。这些结果证明即使处理温度及前驱物相当不同,但可通过增加膜密度而达到a-C:H膜所需的蚀刻选择性。因此,a-C:H膜的稠化(densification)可以是增进蚀刻选择性的一种方法。
本发明的实施方面包括使用流速相对大的氩或其它重稀有气体(例如氪或氙)来作为在a-C:H膜沉积期间的稀释气体,用以增加生成的膜密度(以及因此增加蚀刻选择性)、膜的沉积速率、以及膜对于基板表面上的特征结构的保形性。将重稀有气体用作大流速稀释气体这一做法也在沉积处理中增加了烃前驱物的利用效率,从而减少在处理室内表面上不需要的沉积。针对a-C:H膜的沉积,在PECVD处理室中,氦被用作工作气体中的主要的非反应性成分,这是因为氦容易被离子化,并且因此有益于在处理室中引发等离子体而具有低电弧放电的风险。虽然氩有时被用作载气,以将液相前驱物导入PECVD处理室中,然而按照本发明实施方面的预期并没有使用非常大量的氩来作为载气,因此当被用作载气时没有因此提供好处。
实验装置
图3A是基板处理系统(系统1000)的代表示意图,其可根据本发明的实施例而应用于进行无定形碳层沉积。适当系统的例子包括可使用DxZTM处理室的系统、PRECISION系统、PRODUCERTM系统以及PRODUCER SETM处理室,其皆可由加州圣克拉拉的应用材料有限公司购得。
系统1000包括处理室1025、气体盘1030、控制单元1010、以及其它硬件构件,例如电源及真空泵。使用在本发明中的系统的实施例的细节描述在共同受让的美国专利第6,364,954号中,专利名称为「高温化学气相沉积处理室」,公告日为2002年4月2日,在此将其并入以作为参考。
处理室1025一般包括基板支持架1050,其用以支撑基板,例如一半导体基板1090。此基板支持架1050利用耦合至轴杆1060的位移机械装置(未显示)而在处理室1025中以垂直方向移动。根据该处理,在处理之前可加热半导体基板1090至所需的温度。基板支持架1050可通过嵌入式加热元件1070而加热。例如,通过将来自电源1006的电流施加至加热元件1070,以电阻方式对基板支持架1050进行加热。接着,通过基板支持架1050来加热半导体基板1090。温度感应器1072,例如热电耦,亦嵌设于基板支持架1050中,用以监控基板支持架1050的温度。测量的温度被用在反馈回路中,以针对加热元件1070而控制电源1006。可以将基板温度维持或控制在针对特定处理应用所选择的温度。
真空泵1002用以对处理室1025进行抽真空,并且用以维持处理室1025中的适当气体流速及压力。处理气体透过喷气头1020而被导入处理室1025中,且喷气头1020位于基板支持架1050上方,并且适以提供均匀分布的处理气体并使其进入处理室1025。喷气头1020连接至气体盘1030,其控制及提供在不同处理顺序步骤中使用的各种处理气体。处理气体可包括烃源以及等离子体引发气体,下方将会结合示范性的稀释氩沉积处理的描述而更详细地进行叙述。
气体盘1030也被用于控制及提供各种汽化的液体前驱物。虽然并未显示,可例如利用液体注入蒸馏器以汽化来自液体前驱物供应器的液体前驱物,并且在存在有载气的情况下将其传送至处理室1025中。载气一般是惰性气体,例如氮气、或稀有气体,例如氩或氦。可选择地,液体前驱物可以通过热及/或真空辅助汽化处理而从一安瓿中汽化。
喷气头1020及基板支持架1050也可形成一对间隔设置的电极。当在这些电极之间产生电场时,导入处理室1025的处理气体被点燃成等离子体1092。一般来说,通过一匹配网络(未显示)而将基板支持架1050连接至单频或双频射频(Radio Frequency;RF)功率源(未显示),就产生了电场。可选择地,射频功率源及匹配网络可耦合至喷气头1020,或是同时耦合至喷气头1020及基板支持架1050。
PECVD技术通过施加至接近基板表面的反应区的电场而促进反应物气体的激发及/或解离,以产生反应物质的等离子体。在等离子体中的物质的反应性降低了发生化学反应所需的能量,而实际上则降低了这种PECVD处理所需的温度。
通过质流控制器(未显示)及控制单元1010(例如计算机),可适当控制及调整流经气体盘1030的气体及液体。喷气头1020允许来自气体盘1030的处理气体被均匀地分布且被引入处理室1025中。举例来说,控制单元1010包括中央处理单元(CPU)1012、支持电路1014、以及包含相关控制软件的存储器1016。控制单元1010负责基板处理所需的数个步骤的自动控制,例如基板传输、气体流控制、液体流控制、温度控制、处理室真空化等等。当处理气体混合物喷出喷气头1020时,在半导体基板1090的表面1091上会发生烃化合物的等离子体辅助热解离,而导致在半导体基板1090上沉积无定形碳层。
沉积处理
本发明的实施方面包括a-C:H层的沉积,其通过一处理来进行,该处理包括将烃源、等离子体引发气体、及稀释气体引入一处理室,例如上文结合图3A所描述的处理室1025。烃源为一个或多个烃化合物的混合物。烃源可包括气相烃化合物(较佳为丙烯;C3H6),及/或包括液相烃化合物的蒸汽及载气的气体混合物。等离子体引发气体最好是氦,因为其容易被离子化,然而也可使用其它气体,例如氩。稀释气体是一种易离子化、相对重及化学性质不活泼的气体。较佳的稀释气体包括氩、氪、及氙。为了实现膜密度、产量和保形性的方面的有益改进,较不偏爱比氩轻的气体,这是因为它们不稳定,下面结合图4-9进行描述。
此外,利用部份地或完全地掺有烃化合物的衍生物而形成的无定形碳层也可能从本发明的方法中获益。衍生物包括烃化合物的含氮、含氟、含氧、含羟基基团、及含硼的衍生物及其氟化衍生物。烃化合物可包含氮或可和含氮气体(例如氨)一起沉积,或是烃化合物可具有如氟及氧的取代基。由本发明的方法沉积未掺杂的a-C:H膜所证实的密度、沉积速率及保形性的改善有可能有益于这些处理中的任一种。得益于本发明的实施方面的处理中所使用的烃化合物及其组成物的掺杂衍生物的更详细描述可参照在共同受让的申请于2005年2月24日的美国公开案号第2005/0287771号,专利名称为「应用于无定形碳膜的化学气相沉积的液态前驱物」中,在此将其整体并入以做为参考,且并不与本发明产生不一致。
一般来说,可被包括在烃源中的烃化合物或其衍生物可通过化学式CAHBOCFD来表示,其中A介于1~24之间、B介于0~50之间、C介于0~10之间、D介于0~50之间、以及B及D的总和至少为2。适合的烃化合物的特定例子包括饱和或非饱和脂肪族、饱和或非饱和脂环烃、以及芳香烃。
举例来说,脂肪族烃包括:烷类,例如甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷、及其类似物;烯类,例如乙烯、丙烯、丁烯、戊烯、及其类似物;二烯类,例如丁二烯、异戊二烯、戊二烯、己二烯及其类似物;炔类,例如乙炔、乙烯基乙炔及其类似物。举例来说,脂环烃包括:环丙烷、环丁烷、环戊烷、环戊二烯、甲苯、及其类似物。举例来说,芳香烃包括:苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、乙酰苯、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃、及其类似物。另外也可选择α-松油烯、甲基异丙基苯(cymene)、1,1,3,3,-四甲基丁基苯、叔丁醚、叔丁基乙烯、甲基丙烯酸甲酯、及叔丁基糠基醚。
烃化合物的适当衍生物的例子为氟化烷、卤化烯、及卤化芳香族化合物。氟化烷例如包括:一氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、一氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、一氟丙烷、三氟丙烷、五氟丙烷、全氟丙烷、一氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、一氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、一氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷、及其类似物。卤化烯例如包括:一氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯、及其类似物。卤化芳香族化合物包括:一氟苯、二氟苯、四氟苯、六氟苯及其类似物。
使用氩稀释的a-C:H沉积处理是PECVD处理。通过将基板温度维持在约100℃~约450℃之间,并且较佳为约300℃~约450℃之间,以减少生成的膜的吸收系数,就可以从处理气体中沉积a-C:H层。该处理进一步包括将处理室压力维持在约2托(Torr)~8托之间。将烃源、等离子体引发气体、及稀释气体导入处理室,并且引发等离子体以开始沉积。更佳地,等离子体引发气体是氦或其它容易离子化的气体,并且在烃源及稀释气体之前被导入处理室中,这使得形成稳定的等离子体,并且减少电弧放电的机会。较佳的烃源是丙烯,然而如上所述,亦可根据所需的膜而使用其它烃化合物,包括夹带于载气中的一个或多个汽化液相烃化合物。稀释气体可以是任何至少与氩一样重的稀有气体,然而基于经济上的考虑,最好是氩。通过向基板表面区域施加介于约0.7W/cm2至约3W/cm2之间的功率密度的射频功率而产生等离子体,且较佳为约1.1至2.3W/cm2。电极间隔,即基板与喷气头之间的距离,介于大约200密耳(mils)及1000密耳之间。
可利用双频射频系统来产生等离子体。相信双频可提供通量及离子能量的独立控制,因为击中膜表面的离子能量会影响膜密度。高频等离子体控制了等离子体密度,且低频等离子体控制了离子击中晶片表面的动能。混合的双频射频功率源提供介于约10MHz~约30MHz范围之间的高频功率,例如约13.56MHz,以及介于约10KHz至约1MHz范围之间的低频功率,例如约350KHz。当使用双频射频系统来沉积a-C:H膜时,第二射频功率与总混合频率功率的比率最好小于约0.6比1.0(0.6:1)。可根据基板尺寸及使用的设备来修改施加的射频功率以及所使用的一个或多个频率。
为了使氩稀释沉积方法的益处最大化,重要的是在PECVD处理室中导入相对于烃化合物的量而言量很大的稀释剂。然而,同样重要的是,导入处理室的稀释剂的流速不能太高。通过增加稀释剂流速,可形成更高密度的a-C:H层,对于a-C:H膜产生了甚至更高的蚀刻选择性,但更高的密度亦会导致更高的膜应力。在a-C:H膜中非常高的膜应力会造成多种严重的问题,例如:a-C:H膜与基板表面的黏着力较差及/或a-C:H膜的破裂。然而,加入相对于烃化合物超出特定摩尔比的氩或其它稀释剂这一做法将会有害地影响膜的特性。因此,有一个处理范围(process window),其中根据沉积膜的期望特性,使进入PECVD处理室的氩稀释剂的摩尔流速与烃化合物的摩尔流速的比率最好维持在约2:1~约40:1之间。针对部分a-C:H膜的沉积,此比率的最期望范围介于约10:1~约14:1之间。
处理300毫米圆形基板的示范沉积处理使用氦作为等离子体引发气体、丙烯作为烃源、以及氩作为稀释气体。氦的流速介于约200sccm~约5000sccm之间、丙烯的流速介于约300sccm~约600sccm之间、以及氩流速介于约4000sccm~约10000sccm之间。单频射频功率介于约800瓦~约1600瓦之间。用于此处理的精细的参数,例如处理室压力、基板温度等等,皆如上所描述。这些处理参数提供a-C:H层介于约约范围之间的沉积速率、介于约1.2g/cc~约1.8g/cc范围之间的密度、及对633纳米辐射约0.10的吸收系数。本领域技术人员在阅读此处所揭露的技术,则可计算用于产生不同(相较于此处所讨论者)密度、吸收系数、或沉积速率的a-C:H膜的适当处理参数。
表1概述了分别沉积在300毫米圆形基板上的两种a-C:H膜的比较结果。膜1使用常规、以氦为主的沉积处理,也就是现在被视为半导体工业的标准处理。膜2使用本发明的一实施方面进行沉积。
如表1所示,膜2是在比膜1低很多的温度处进行沉积的,且其烃化合物的流速是膜1的1/3。尽管烃的流速较低,但是膜2仍是以膜1的两倍沉积速度来进行沉积的。再者,膜2的特性优于膜1,也就是说,其具有大幅改善的保形性及非常低的吸收系数。因此,通过使用此处所述的本发明的方法,相比于常规的a-C:H层,本发明的无定形碳层以较高的沉积速率形成,并且具有较优异的膜特性。
膜密度的提高
根据本发明的一实施例,本方法重要的益处是能够增加a-C:H膜的密度,且因此增加a-C:H膜的干蚀刻选择性。图4为说明氩稀释气体对a-C:H膜密度的影响的图表。该图描述三个300毫米半导体基板401-403的膜密度。除了在沉积处理期间氩进入处理室的流速以外,包括处理室压力、射频等离子体功率、烃前驱物、及烃流速在内的用于所有这三个基板的处理条件全部相同。在基板401上进行沉积的期间,氩流速为每分钟7200标准立方厘米(Standard CubicCentimeters per Minute;sccm),且分别对基板402及403则增加到8000sccm及8500sccm。相对于基板401,基板402、403的膜密度按照在其处理期间提供的更高的氩流速成比例地增加。这表示了无定形碳层的密度可以通过加入一相对大流速的氩稀释剂而增加,而不用改变其它处理变量,例如烃前驱物流速或射频等离子体功率。
重要的是,注意本发明方法的实施方面包括使用比引发在PECVD处理室中的等离子体或是作为液相前驱物化学药品的载气所需还要高很多的流速的氩。例如,当作为液相前驱物的载气时,氩进入300mm PECVD处理室的典型流速为约2000sccm的等级或更少。进入这样的处理室的氦流速一般甚至是更少。相反的,用于增加无定形碳膜的密度而作为稀释气体的氩的流速远远高了许多,例如大于约7000sccm。
在膜生长的期间,大概是氦离子十倍重的氩离子在轰击基板的表面时更为有效。在沉积期间,更加剧烈的氩离子轰击有可能产生许多悬空键(danglingbonds)以及化学活性区,其中在等离子体中的CH-自由基可黏附在该处,以形成一较稠密的膜。更轻的离子,例如氦离子,由于与其较低质量有关的动能缺乏,所以无法产生类似的结果。图5说明了稀释气体种类对生成膜密度的影响。图中显示出在二个基板501、502上的膜密度。对于基板501的沉积,使用氩作为稀释气体。针对基板502的沉积,则使用氦。除了稀释气体的种类以外,其它所有的处理条件皆保持不变。如图5中所示,基板501的a-C:H密度比基板502高很多。
亦判定出可能有益于增加a-C:H膜的沉积膜密度的其它因素,而可藉此增加干蚀刻选择性。这些因素包括:以相对较高的稀释气体(不仅为氩)比率对烃源进行稀释;降低烃源的流速;及降低处理压力。
增加使用稀释气体及/或降低烃源流速这些做法减少了a-C:H膜的沉积速率,并且藉此允许来自CVD等离子体的离子轰击可更为有效地用于使正生长的膜更致密。上述现象对于数种稀释气体皆为事实,包括氦气及氢气,虽然这两种气体不具备如参照图4所述的氩气及较重的稀有气体的额外稠化能力。较低的烃流速对于膜密度的影响说明于图6中,其中不同的丙烯流速分别用在三个不同基板601-603上沉积a-C:H膜的过程中。在沉积期间,由于沉积速率更高且相应缺乏膜压缩,因此随着丙烯流速增加,膜密度却显示减少。因此,在沉积期间,基板603上的膜具有最低的密度及最高的丙烯流速。
除了稀释气体与烃源的比率以外,处理室压力也对膜密度具有实质的影响。因为在等离子体中的离子能量直接与鞘电压(sheath voltage)成正比,并且跨越基板的鞘电压随着压力的减少而增加,所以膜密度随着压力的减少而增加的现象是可预期的。此说明于图7中,其中不同的处理压力分别用于三个不同基板701-703上a-C:H膜的沉积。由于在更低压力的等离子体中可找到更高能的离子,所以图中显示的膜密度随着处理压力的增加而减少。
沉积速率的改善
本发明方法的其它优点是显著地改善a-C:H膜的沉积速率。通常,膜密度及沉积速率之间存在有妥协;标准沉积处理(例如以氦为主)中,可以调整沉积参数以产生较高密度的a-C:H膜,但值得注意的是却通过降低产量而达到的。例如,如上文与图6关联的描述,当烃前驱物的流速降低时,可沉积较高密度的a-C:H膜,但沉积速率也相对地降低。所以,虽然生成的膜可具有所需的密度,但是由于在基板上沉积这种膜所需的处理时间较长,所以使得这样的沉积处理无法商业化。
本发明的方法允许同时实现高密度的膜及这种膜的相对较高的沉积速率。与标准的基于氦的PECVD处理相比较,当以大量的氩作为稀释气体时,a-C:H膜的沉积速率大幅地增加。如上文与图6关联的描述,烃源的稀释导致更高密度的膜以及较低的沉积速率。氩的加入除了可增加膜密度外,亦有效地提升沉积速率。
图8说明了在沉积a-C:H膜的处理期间,通过导入重稀有气体(例如氩)来作为高流速稀释剂以改善沉积速率。比较分别在三个不同基板801-803上的三种稀释气体的沉积速率,其中三个基板的稀释气体流速皆保持在8000sccm。氩气稀释用在基板801的沉积,氦气用于基板802,及氢气用在基板803。三个基板的其它处理参数皆相同。相比于氦气或氢气稀释,氩气稀释对于沉积速率产生高于三倍的增加现象。如上文与第4及5图关联的描述,容易离子化但较重的氩原子能够在a-C:H膜的表面上产生更多反应位置,这是通过破坏其上的C-H键而实现的,因而增加进入的自由基黏附于膜表面的可能性。此外,易离子化的气体(例如氩)的高流速可导致更高的等离子体密度,并且因此产生更多气相-CHx自由基。同时,与氩气稀释相关的更具反应性的等离子体及更具反应性的膜表面会导致高沉积速率及高膜密度的有益结合。
再者,由于氩气稀释而在等离子体中存在有更多-CHx基及在膜表面上包括更具反应性的位置的组合亦可解释在氩气稀释处理中观察到的化学利用方面的实质性改善。在氩气稀释处理中,大部分的烃材料有效地沉积在基板表面上,而不是沉积在PECVD处理室的所有内侧表面而成为不需要的烃残余物。优先沉积在基板上这一特点会转变为主要的产量增益。由于降低了在PECVD处理室中产生的残余物,所以相比于氦气稀释或氢气稀释处理,氩气稀释处理的处理室的清洁时间较短。且因为在多个基板的处理之间清理处理室所用的时间较少,所以较短的清理时间增加了PECVD处理室的产量。再者,来自于PECVD处理室的内表面剥落的烃残余物所产生对基板的粒子污染亦可通过在氩气稀释处理的化学利用方面的改善而大幅地减少;在PECVD处理室中产生较少的残余物同等于在其中进行处理的基板受较少的粒子污染。
保形性改善
如图9所示,本发明方法的另一个主要的优点是:与其它a-C:H沉积处理相比,保形性增加了。图9为说明具有特征结构901及无定形碳层902形成于其上的基板900的截面示意图。无定形碳层902说明了一种使用本发明方法沉积的代表性膜外观。从质量上来说,无定形碳层902高度保形,并且完全地覆盖住特征结构901的侧壁904及底部903。从量化上来说,无定形碳层902可具有约20-30%这一量级的保形性,其中保形性定义为沉积在侧壁904上的无定形碳层902的平均厚度S与沉积在基板900的上表面905的无定形碳层902的平均厚度T之间的比率。往回参照图2,非保形无定形碳层202(其说明了以氢气或氦气稀释的气体而沉积的膜的一般外观)一般具有约5%的保形性。将图2中的非保形无定形碳层202的沉积轮廓与图9中的无定形碳层902相比,这表明氩原子的轨迹不像氢或氦离子那样有方向性。相较于其它稀释剂,等离子体中所存在的气相物质亦可能与氩气稀释不同。这些因素与利用氩气稀释处理而在基板表面上-CHx自由基的较高黏附机率结合,会造成如图9中所述的保形性改善。
低温处理
氩气稀释处理的另一优点是:可使用较低温处理以产生具有所需密度及透明度的a-C:H层。通常地,在沉积期间,较高的基板温度是用以促进更高密度膜的形成的处理参数。基于上述原因,氩气稀释处理已增加了密度,所以在沉积期间可降低基板温度,例如约300℃的低温,并且仍产生所需密度的膜(即,约1.2g/cc~约1.8g/cc)。因此,氩气稀释处理可产生相对高密度的膜,且其具有低至约0.09的吸收系数。另外,较低的处理温度通常对于所有基板而言都是很期望的,因为其降低了处理的热预算,用以保护形成于其上的器件不受掺杂剂迁移(dopant migration)的影响。
用于减少粒子的后沉积终止处理
在a-C:H膜的PECVD沉积期间中,由于–CHx物质的气相聚合作用,因而在块材等离子体中产生了纳米粒子。这些粒子自然地在等离子体中获得负电荷,并且,因此在沉积期间继续悬浮在等离子体中。然而,当射频功率关闭并且等离子体在处理室中消失时,这些粒子在抽气期间会因为重力及黏滞拖曳力(viscous drag force)而倾向于掉落在基板表面上。因此,非常重要的是在抽气步骤之前,确保这些粒子从处理室中被赶出。这可以通过在膜沉积结束后(即,在烃源的流入被停止后),使等离子体维持在处理室中一段时间而达成。终止步骤的时间根据沉积处理的持续期间而变动,因为沉积时间决定了在沉积处理中产生的粒子的尺寸及数量。较长的沉积处理一般在块材等离子体中产生较多及较大的粒子。后沉积终止步骤的最佳持续时间介于约5秒及约20秒之间。较佳地,等离子体维持气体也是较轻的气体,例如氦或氢,用以减少通过溅射到喷气头而产生的粒子。在后沉积终止步骤期间,射频功率较佳地被降低至最小的程度,而此程度是安全地维持稳定的等离子体并且避免电弧放电所需的。由于高能等离子体可能对基板造成有害的影响,例如蚀刻基板表面或溅射到喷气头,所以不期望具有更高能的等离子体。
此外,在块材沉积步骤及/或后沉积终止步骤期间,已发现等离子体的氢掺杂可进一步改善粒子的表现。因为氢原子可作为一终止键,而可钝化存在于等离子体中的气相物质,并且防止该些物质互相键结并且成长为不期望产生的纳米粒子。此外,通过与纳米粒子发生化学反应且造成后续的碎断作用(fragmentation),H+离子就可以降低尚存的纳米粒子的尺寸。藉此,针对较薄的a-C:H膜(例如在a-C:H膜沉积之后,在基板上侦测到的粒子已减少一半以上。针对较厚的a-C:H膜(例如约1微米),所侦测到的粒子数量已随着氢掺杂强度的等级而降低。在后沉积终止步骤的较佳实施方面中,等离子体引发气体的摩尔流速与氢气的摩尔流速的比率介于约1:1及约3:1之间。在此处理步骤期间,不期望具有较高的氢气流速,因为在处理室中较高的氢气浓度可能会对沉积膜产生不利影响。在块材沉积处理中,稀释气体的摩尔流速与氢气的摩尔流速的较佳比率介于约2:1及4:1之间。更高浓度的氢会导致更加剧烈的粒子减少情形,但亦会降低a:C-H膜的保形性。
在一例子中,当厚的a-C:H膜沉积于300mm基板上时,后沉积终止步骤被用以降低污染该基板表面的粒子数量。在沉积处理之后,烃源的流动(在此例中为600sccm的丙烯)停止。然而,射频功率未终止,并且反而降低至在处理室中维持一稳定等离子体所需的程度。在此例子中,射频功率由约1200瓦降低至约200-500瓦。除了等离子体引发气体(在此例中为氦)的持续流动外,还将氢引入处理室中。氢气的流速约是1000-2000sccm,并且氦气的流速约是4000-6000sccm。平均了看,利用上述后沉积终止处理的300毫米基板表面上所侦测到的大于0.12微米粒子的数量小于15。相反的,当没有使用后沉积终止步骤时,基板上所侦测到的大于0.12微米粒子的数量一般大于约30。
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。
Claims (10)
1.一种在基板上形成无定形碳层的方法,包括:
将基板放置在基板处理室中;
将烃源导入该处理室;
将稀有气体导入该处理室,而该稀有气体选自由氩气、氪气、氙气及其组合所组成的群组,其中该稀有气体的摩尔流速大于该烃源的摩尔流速;
在该处理室中产生等离子体;以及
在该基板上形成无定形碳层。
2.如权利要求1所述的方法,其中该稀有气体的摩尔流速是该烃源的摩尔流速的约2至40倍大。
3.如权利要求2所述的方法,其中该稀有气体是氩气。
4.如权利要求1所述的方法,更包括:
使该烃源停止流入该处理室;以及
使等离子体维持气体流入该处理室中,以维持在该处理室中的等离子体。
5.如权利要求4所述的方法,其中该等离子体维持气体是氦气,且其中在使该烃源停止流入该处理室之后,氦气持续流入该处理室约5至20秒。
6.如权利要求4所述的方法,其中使等离子体维持气体流入该处理室中的步骤更包括使氢气流入该处理室中。
7.如权利要求6所述的方法,其中该等离子体维持气体的摩尔流速与该氢气的摩尔流速的比率介于约1:1至3:1之间。
8.如权利要求1所述的方法,其中该烃源选自由脂肪族烃、脂环烃、芳香烃及其组合所组成的群组。
9.如权利要求1所述的方法,其中该基板处理室是电容耦合等离子体辅助化学气相沉积室。
10.如权利要求9所述的方法,其中在该基板上形成无定形碳层的过程中,该基板处理室中的压力是约2托至8托。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/427,324 | 2006-06-28 | ||
US11/427,324 US7867578B2 (en) | 2006-06-28 | 2006-06-28 | Method for depositing an amorphous carbon film with improved density and step coverage |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007800245312A Division CN101480110B (zh) | 2006-06-28 | 2007-06-22 | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN102915925A true CN102915925A (zh) | 2013-02-06 |
Family
ID=38846433
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2012103961444A Pending CN102915925A (zh) | 2006-06-28 | 2007-06-22 | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 |
CN2007800245312A Active CN101480110B (zh) | 2006-06-28 | 2007-06-22 | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007800245312A Active CN101480110B (zh) | 2006-06-28 | 2007-06-22 | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US7867578B2 (zh) |
KR (2) | KR100978704B1 (zh) |
CN (2) | CN102915925A (zh) |
TW (1) | TWI426545B (zh) |
WO (1) | WO2008002844A2 (zh) |
Families Citing this family (365)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007224383A (ja) * | 2006-02-24 | 2007-09-06 | Tokyo Electron Ltd | アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体 |
US20080153311A1 (en) * | 2006-06-28 | 2008-06-26 | Deenesh Padhi | Method for depositing an amorphous carbon film with improved density and step coverage |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
KR100842494B1 (ko) * | 2007-06-25 | 2008-07-01 | 주식회사 동부하이텍 | 반도체 소자의 정렬키 형성 방법 |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
US20090104541A1 (en) * | 2007-10-23 | 2009-04-23 | Eui Kyoon Kim | Plasma surface treatment to prevent pattern collapse in immersion lithography |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US8105465B2 (en) * | 2008-10-14 | 2012-01-31 | Applied Materials, Inc. | Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD) |
US20100104770A1 (en) * | 2008-10-27 | 2010-04-29 | Asm Japan K.K. | Two-step formation of hydrocarbon-based polymer film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US7842622B1 (en) * | 2009-05-15 | 2010-11-30 | Asm Japan K.K. | Method of forming highly conformal amorphous carbon layer |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110052797A1 (en) * | 2009-08-26 | 2011-03-03 | International Business Machines Corporation | Low Temperature Plasma-Free Method for the Nitridation of Copper |
US20110244142A1 (en) * | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US20120043518A1 (en) * | 2010-08-18 | 2012-02-23 | Applied Materials, Inc. | Variable resistance memory element and fabrication methods |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102751188B (zh) * | 2011-11-10 | 2015-05-20 | 上海华力微电子有限公司 | 超低介电材料的化学机械抛光方法 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8679987B2 (en) * | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150200094A1 (en) * | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Carbon film stress relaxation |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9390910B2 (en) | 2014-10-03 | 2016-07-12 | Applied Materials, Inc. | Gas flow profile modulated control of overlay in plasma CVD films |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
SG10201903823QA (en) * | 2014-10-31 | 2019-05-30 | Whole Biome Inc | Methods and compositions relating to microbial treatment and diagnosis of disorders |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
KR101881534B1 (ko) * | 2016-02-04 | 2018-07-24 | 주식회사 테스 | 플라즈마를 이용한 금속산화물이 포함된 탄소막의 형성 방법 |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
KR101909280B1 (ko) * | 2017-04-29 | 2018-10-17 | 주식회사 테스 | 플라즈마 처리장치 및 이를 이용한 탄소막의 증착방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20200130490A (ko) * | 2018-04-09 | 2020-11-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들 |
CN112041967A (zh) * | 2018-04-24 | 2020-12-04 | 应用材料公司 | 碳硬掩模的等离子体增强化学气相沉积 |
US10985009B2 (en) * | 2018-04-27 | 2021-04-20 | Applied Materials, Inc. | Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources |
JP2021523558A (ja) * | 2018-05-03 | 2021-09-02 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
TWI764002B (zh) | 2018-06-15 | 2022-05-11 | 美商應用材料股份有限公司 | 形成非晶碳膜及蝕刻基板之方法 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
WO2020190878A1 (en) * | 2019-03-18 | 2020-09-24 | Lam Research Corporation | Carbon based depositions used for critical dimension control during high aspect ratio feature etches and for forming protective layers |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11322352B2 (en) | 2020-04-20 | 2022-05-03 | Applied Materials, Inc. | Nitrogen-doped carbon hardmask films |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
CN113818002B (zh) * | 2020-06-19 | 2024-06-07 | 拓荆科技股份有限公司 | 一种薄膜制备方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220293416A1 (en) * | 2021-03-12 | 2022-09-15 | Applied Materials, Inc. | Systems and methods for improved carbon adhesion |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050287688A1 (en) * | 2004-06-25 | 2005-12-29 | Applied Materials, Inc. | Water-barrier performance of an encapsulating film |
US20060014397A1 (en) * | 2004-07-13 | 2006-01-19 | Seamons Martin J | Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
ZA954295B (en) * | 1994-06-03 | 1996-11-25 | Mobil Oil Corp | Carbon-coated barrier films with increased concentration of tetrahedrally-coordinated carbon |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
US6020035A (en) * | 1996-10-29 | 2000-02-01 | Applied Materials, Inc. | Film to tie up loose fluorine in the chamber after a clean process |
US6428894B1 (en) | 1997-06-04 | 2002-08-06 | International Business Machines Corporation | Tunable and removable plasma deposited antireflective coatings |
MY132894A (en) * | 1997-08-25 | 2007-10-31 | Ibm | Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof |
US6211065B1 (en) * | 1997-10-10 | 2001-04-03 | Applied Materials, Inc. | Method of depositing and amorphous fluorocarbon film using HDP-CVD |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
DE10224010A1 (de) * | 2001-06-14 | 2003-01-09 | Benq Corp | Magentafarbige Tinte für Tintenstrahldrucker mit guter Lichtbeständigkeit und hoher Wasserresistenz |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
CN100456462C (zh) * | 2003-10-09 | 2009-01-28 | 飞思卡尔半导体公司 | 具有增强光刻胶黏性的无定形碳层的器件及其制造方法 |
JP4725085B2 (ja) * | 2003-12-04 | 2011-07-13 | 株式会社豊田中央研究所 | 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法 |
US7115993B2 (en) * | 2004-01-30 | 2006-10-03 | Tokyo Electron Limited | Structure comprising amorphous carbon film and method of forming thereof |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
JP4879159B2 (ja) | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7079740B2 (en) | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
US20080153311A1 (en) | 2006-06-28 | 2008-06-26 | Deenesh Padhi | Method for depositing an amorphous carbon film with improved density and step coverage |
-
2006
- 2006-06-28 US US11/427,324 patent/US7867578B2/en active Active
-
2007
- 2007-06-22 WO PCT/US2007/071923 patent/WO2008002844A2/en active Application Filing
- 2007-06-22 CN CN2012103961444A patent/CN102915925A/zh active Pending
- 2007-06-22 CN CN2007800245312A patent/CN101480110B/zh active Active
- 2007-06-28 KR KR1020070064292A patent/KR100978704B1/ko active IP Right Grant
- 2007-06-28 TW TW096123596A patent/TWI426545B/zh active
-
2010
- 2010-04-30 KR KR1020100040705A patent/KR101184072B1/ko active IP Right Grant
-
2011
- 2011-01-10 US US12/987,688 patent/US20110104400A1/en not_active Abandoned
-
2012
- 2012-04-25 US US13/455,984 patent/US20120208373A1/en not_active Abandoned
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050287688A1 (en) * | 2004-06-25 | 2005-12-29 | Applied Materials, Inc. | Water-barrier performance of an encapsulating film |
US20060014397A1 (en) * | 2004-07-13 | 2006-01-19 | Seamons Martin J | Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon |
Also Published As
Publication number | Publication date |
---|---|
KR101184072B1 (ko) | 2012-09-19 |
WO2008002844A3 (en) | 2008-08-07 |
WO2008002844A2 (en) | 2008-01-03 |
US20080003824A1 (en) | 2008-01-03 |
KR20100068344A (ko) | 2010-06-23 |
TW200818266A (en) | 2008-04-16 |
US20120208373A1 (en) | 2012-08-16 |
US7867578B2 (en) | 2011-01-11 |
KR100978704B1 (ko) | 2010-08-31 |
US20110104400A1 (en) | 2011-05-05 |
KR20080002642A (ko) | 2008-01-04 |
TWI426545B (zh) | 2014-02-11 |
CN101480110A (zh) | 2009-07-08 |
CN101480110B (zh) | 2012-12-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101480110B (zh) | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 | |
CN101981659B (zh) | 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法 | |
CN102187432B (zh) | 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 | |
TWI726034B (zh) | 基板處理方法 | |
CN101595559B (zh) | 形成镶嵌结构的方法 | |
JP3930840B2 (ja) | 低κ誘電性無機/有機ハイブリッドフィルム | |
US7981810B1 (en) | Methods of depositing highly selective transparent ashable hardmask films | |
KR101161912B1 (ko) | 비결정질 탄소 층의 고온 증착 방법 | |
US10014174B2 (en) | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning | |
CN102934209A (zh) | 超高选择性的可灰化硬模膜 | |
US20050167394A1 (en) | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme | |
CN101939818A (zh) | 以干微影或浸润式微影工艺来防止45-纳米特征结构尺寸中光阻材料的崩塌和毒化 | |
KR20130062924A (ko) | 질소 도핑된 비정질 탄소 하드마스크 | |
KR20080049125A (ko) | 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리 | |
Matsushita et al. | CS thin films formed by plasma CVD |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C05 | Deemed withdrawal (patent law before 1993) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20130206 |