KR20130113958A - 초고도 선택비 애쉬어블 하드마스크 막 - Google Patents

초고도 선택비 애쉬어블 하드마스크 막 Download PDF

Info

Publication number
KR20130113958A
KR20130113958A KR1020127033306A KR20127033306A KR20130113958A KR 20130113958 A KR20130113958 A KR 20130113958A KR 1020127033306 A KR1020127033306 A KR 1020127033306A KR 20127033306 A KR20127033306 A KR 20127033306A KR 20130113958 A KR20130113958 A KR 20130113958A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
carbon layer
forming
substrate
gas
Prior art date
Application number
KR1020127033306A
Other languages
English (en)
Inventor
광덕 더글라스 이
마틴 제이 시몬스
수드하 라티
치우 찬
마이클 에이치. 린
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130113958A publication Critical patent/KR20130113958A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

기판 프로세싱 챔버의 기판상에 비정질 탄소층을 형성하는 방법은 프로세싱 챔버 내로 탄화수소 소스를 도입하는 단계, 상기 프로세싱 챔버 내로 아르곤을 단독으로 도입하거나 또는 헬륨, 수소, 질소, 및 그 조합물들과 조합하여 도입하는 단계, 약 2 Torr 내지 10 Torr 의 실질적으로 낮은 압력으로 상기 프로세싱 챔버에 플라즈마를 발생시키는 단계; 및 상기 기판상에 컨포멀 비정질 탄소층을 형성하는 단계를 포함하며, 상기 아르곤은 탄화수소 소스 체적 유량에 대해 약 10:1 내지 약 20:1의 체적 유량을 갖는다.

Description

극초 선택성 애쉬어블 하드마스크 막{ULTRA HIGH SELECTIVITY ASHABLE HARD MASK FILM}
본 발명의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것으로서, 특히 높은 막 밀도 및 높은 에칭 선택성을 갖는 비정질(amorphous) 탄소층의 증착에 관한 것이다.
집적 회로들은 단일의 칩(chip)상에 수백만개의 트랜지스터들, 커패시터들 및 레지스터들을 포함할 수 있는 복잡한 디바이스들로 발전되어 왔다. 칩 디자인의 발전은 빠른 회로 및 큰 회로 밀도를 지속적으로 요구한다. 큰 회로 밀도들을 갖는 빠른 회로들에 대한 요구는, 이런 집적 회로들을 제조하는데 사용되는 물질들에 대한 대응하는 요구사항들을 부과한다. 특히, 집적 회로 부품들의 치수들은 서브-미크론 치수들로 감축되었으며, 디바이스들의 전기 성능을 개선하기 위해 구리와 같은 낮은 저항률의 전도성 물질들 뿐만 아니라 로우(low)-k 물질들로 자주 지칭되는 낮은 유전상수의 절연 물질들을 사용할 필요가 있다. 로우-k 물질들은 일반적으로 4.0 미만의 유전상수를 갖는다.
표면 결함들 또는 특징부 변형이 적거나 또는 없는 로우-k 물질을 갖는 디바이스들을 생산하는 것이 문제가 된다. 로우-k 유전체 물질들은 자주 다공성이며, 후속의 프로세스 단계들 중 상처 또는 손상되기 쉬우며, 따라서 기판 표면상에 형성될 결함의 가능성을 증가시킨다. 로우-k 물질들은 자주 부서지기 쉬우며 그리고 화학적 기계적 폴리싱(CMP)와 같은 종래의 폴리싱 프로세스들하에서 변형될 수 있다. 표면 결함들의 감소 및 로우-k 물질들의 변형을 제한 또는 감소시키는 하나의 해결책은 패터닝(patterning)과 그리고 에칭 이전에 노출된 로우-k 물질들 위로의 하드마스크(예를 들어, TiN 하드마스크)의 증착이다. 하드마스크는 미세한 로우-k 물질들의 손상 및 변형을 방지한다. 또한, 에칭 중 로우-k 물질의 제거를 방지하기 위하여, 하드마스크 층은 종래의 리소그래픽(lithographic) 기술들과 함께 에칭 마스크로서 작용할 수 있다.
전형적으로, 하드마스크는 중간 산화물 층, 예를 들어 실리콘 이산화물 또는 실리콘 질화물이다. 그러나, 일부 디바이스 구조물들은 이미 실리콘 이산화물 및/또는 실리콘 질화물 층들, 예를 들어 물결형(damascene) 구조물들을 포함하고 있다. 따라서, 이런 디바이스 구조물들은 에칭 마스크로서 실리콘 이산화물 또는 실리콘 질화물 하드마스크를 사용하여 패턴화될 수 없는데, 그 이유는 하드마스크와 그 아래의 물질 사이의 에칭 선택도(selectivity)가 적거나 또는 없기 때문에, 즉 하드마스크의 제거가 하부의 층들에 허용할 수 없는 손상을 초래하기 때문일 것이다. 실리콘 이산화물 또는 실리콘 질화물과 같은 산화물 층들을 위한 에칭 마스크로서 작용하기 위해, 물질은 이들 산화물 층들에 대해 바람직한 에칭 선택도를 가져야만 한다.
비정질 탄소로도 불리우며 a-C:H 로 표시되는 비정질의 수소첨가된(hydrogenated) 탄소는 산화물, 질화물, 폴리-Si, 또는 금속(예를 들어, Al) 물질들을 위한 하드마스크로서 작용하는 효과적인 물질로 입증되었다. 비정질의 수소첨가된 탄소는, 기본적으로 예를 들어 약 10 내지 45 원자%의 크기의 실질적인 수소 함량을 함유할 수 있는 장범위(long-range) 정질(crystalline) 크기를 갖는 탄소 물질이다. a-C:H 는 그 화학적 불활성, 광학적 투명도, 및 바람직한 기계적 특성들 때문에, 반도체 적용들 시 하드마스크 물질로 사용된다. 다양한 기술들을 통해 a-C:H 막들이 증착될 수 있지만, 비용 효율 및 막 특성 조정가능성(tunability)으로 인해 플라즈마 강화 화학 기상 증착(PECVD)이 널리 사용된다.
건식 에칭 중 원하는 비정질 탄소 막이 하부의 물질층을 적절히 보호하는 것을 보장하기 위하여, 비정질 탄소 막은 그 하부의 물질층에 대해 상대적으로 높은 에칭 선택도 또는 제거율 비율을 갖는 것이 중요하다. 일반적으로, 비정질 탄소 막과 물질층 사이는 10:1 과 같은 적어도 약 3:1 이상의 건식 에칭 프로세스 중의 에칭 선택도가 바람직하며, 즉 물질층은 비정질 탄소 막 보다 10배 빨리 에칭된다. 이 방법으로, 건식 에칭 프로세스를 통해 그 내부에 구멍들이 형성될 동안, 비정질 탄소에 의해 형성된 하드마스크 막은 에칭 또는 손상되지 않은 하부의 물질층의 지역들을 보호한다.
에칭 선택도는 높은 막 밀도를 이용하여 증가될 수 있는 것으로 알려져 있다. 그러나, 비정질 탄소 하드마스크에 대해, 전형적으로 높은 막 밀도와 하드마스크 애쉬어블 능력(ashability) 사이에는 상반관계(trade-off)가 있다. 따라서, 하드마스크에 어울리는 애쉬어블 능력을 유지하면서 높은 막 밀도(및 그에 따른 에칭 선택도)를 갖는 비정질 탄소 하드마스크가 요망되고 있다.
본 발명의 실시예들은 기판 프로세싱 챔버의 기판상에 비정질 탄소층을 증착하기 위한 방법을 제공한다. 일 실시예에서, 상기 방법은 프로세싱 챔버 내로 탄화수소 소스를 도입하는 단계, 헬륨, 수소, 아르곤, 질소, 및 그 조합물들로 구성되는 그룹으로부터 선택된 플라즈마-실시(initiating) 가스를 프로세싱 챔버 내로 도입하는 단계, 아르곤으로 구성되는 희석(diluent) 가스를 프로세싱 챔버 내로 도입하는 단계 ― 아르곤의 체적 유량 대 탄화수소 소스의 체적 유량 비율은 약 20:1 또는 그 초과임 ― 약 1 Torr 내지 10 Torr 의 실질적으로 낮은 압력으로 프로세싱 챔버에 플라즈마를 발생시키는 단계, 및 상기 기판상에 컴포멀(conformal) 비정질 탄소층을 형성하는 단계를 포함한다. 일 면(aspect)에 있어서, 비정질 탄소층의 막 밀도를 1.9 g/cc 까지 증가시키기 위해, 수소로 구성되는 추가적인 희석 가스가 프로세싱 챔버 내로 도입된다.
또한, 본 발명의 실시예들은 프로세싱 챔버에 반도체 디바이스를 형성하기 위한 방법을 제공한다. 일 실시예에서, 상기 방법은 프로세싱 챔버 내로 가스 혼합물을 도입함으로써 기판상에 비정질 탄소층을 형성하는 단계 ― 가스 혼합물은 프로세싱 챔버 내로의, 탄화수소 소스, 플라즈마-개시 가스, 및 아르곤 및 수소로 구성되는 희석 가스를 포함하며, 아르곤 및 수소의 체적 유량 대 탄화수소 소스의 체적 유량은 약 20:1.4:1 임 ―, 기판상에 비정질 탄소층을 형성하기 위해 상기 가스 혼합물의 탄화수소 소스를 분해(decompose)하도록 프로세싱 챔버에 플라즈마를 발생하는 단계, 비정질 탄소층의 적어도 하나의 지역에 패턴을 한정(define)하는 단계, 및 비정질 탄소층을 마스크로서 사용하여 비정질 탄소층의 적어도 하나의 지역에 한정된 패턴을 기판 내로 전달하는 단계를 포함한다.
본 발명의 상기 지칭된 특징들이 상세히 이해될 수 있는 방식과 위에 간략히 요약된 본 발명의 더욱 구체적인 서술은 실시예들을 참조하여 이루어졌으며, 그 일부가 첨부된 도면에 도시되어 있다. 그러나, 본 발명이 다른 등가의 유효한 실시예들을 허용할 수 있기 때문에, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하고 있으며 따라서 그 범위를 제한하는 것으로 간주되지 않음을 인식해야 한다.
도1은 본 발명의 실시예들에 따라 비정질 탄소층 증착을 수행하는데 사용될 수 있는 기판 프로세싱 시스템을 개략적으로 도시한 도면.
도2a-2e(종래 기술)는 마스크로서 비정질 탄소층을 합체한 집적 회로 제조 시?스의 상이한 스테이지(stage)들에서 기판의 개략적인 횡단면도들을 도시한 도면.
도3은 a-C:H 막 밀도상의 추가적인 수소 희석 가스의 효과를 도시한 그래프.
본 발명의 실시예들은 일반적으로 서브(sub) 45 nm 디바이스들을 위한 우수한 라인 무결성(integrity)을 여전히 유지하면서, 높은 막 밀도, 높은 에칭 선택도, 및 상당한 애쉬어블 능력을 갖는 하드마스크를 형성하기 위한 방법을 제공한다. 높은 에칭 선택도는, 라인 위글링(wiggling) 및 라인 벤딩(bending)과 관련된 종래의 문제점들을 갖지 않고, 에칭 마진(margin)을 개선시키며 그리고 작은 특징부들의 제어 가능한 에칭을 허용하는 더 얇은 하드마스크를 가능하게 한다.
예시적인 하드웨어
도1은 본 발명의 실시예들에 따라 특징부들 및/또는 비정질 탄소층 증착을 위해 사용될 수 있는 기판 프로세싱 시스템(1000)을 개략적으로 도시하고 있다. 적절한 시스템들의 예들은 DxZ™ 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER SE™ 프로세싱 챔버 및 PRODUCER GT™ 프로세싱 챔버와 같은 PRODUCER™ 시스템들을 포함하며, 이들 모두는 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼즈, 인코포레이티드로부터 상용적으로 입수할 수 있다.
시스템(1000)은 프로세스 챔버(1025), 가스 패널(1030), 제어 유니트(1010), 및 전원 공급부들 및 진공 펌프들과 같은 다른 하드웨어 부품들을 포함한다. 본 발명에 사용되는 시스템의 일 실시예의 상세한 설명은 2002년 4월 2일자로 발행된 공동으로 양도된 미국 특허 제6,364,954호의 "고온 화학 기상 증착 챔버"에 서술되어 있으며, 이는 여기에 참조인용되었다.
프로세스 챔버(1025)는 일반적으로 반도체 기판(1090)과 같은 기판을 지지하는데 사용되는 지지 받침대(pedestal)(1050)를 포함한다. 상기 받침대(1050)는, 축(1060)에 결합된 변위(displacement) 메카니즘(도시되지 않음)을 사용하여 프로세스 챔버(1025)의 내측에서 수직 방향으로 이동한다. 프로세스에 따라, 기판(1090)은 프로세싱 이전에 원하는 온도로 가열될 수 있다. 기판 지지 받침대(1050)는 매립된 히터 요소(1070)에 의해 가열된다. 예를 들어, 받침대(1050)는 AC 전원 공급부(1006)로부터 히터 요소(1070)에 전류를 인가함으로써 저항식으로(resistively) 가열될 수 있다. 기판(1090)은 받침대(1050)에 의해 다시 가열된다. 또한, 받침대(1050)의 온도를 모니터링하기 위해, 열전쌍(thermocouple)과 같은 온도 센서(1072)가 기판 지지 받침대(1050)에 매립된다. 측정된 온도는 히터 요소(1070)를 위한 AC 전원 공급부(1006)를 제어하는 피드백 루프에 사용된다. 기판 온도는 특정한 프로세스 적용을 위해 선택된 온도로 유지 또는 제어될 수 있다.
진공 펌프(1002)는 프로세스 챔버(1025)를 비우고 그리고 프로세스 챔버(1025) 내측에 적절한 가스 흐름들 및 압력을 유지하는데 사용된다. 그것을 통해 프로세스 가스들이 프로세스 챔버(1025) 내로 도입되는 샤워헤드(1020)는, 기판 지지 받침대(1050) 위에 위치되며 그리고 프로세스 챔버(1025) 내로 프로세스 가스들의 균일한 분배를 제공하도록 적용된다. 샤워헤드(1020)는 가스 패널(1030)에 연결되며, 이는 프로세스 시?스의 상이한 단계들에 사용된 다양한 프로세스 가스들을 제어 및 공급한다. 프로세스 가스들은 탄화수소 소스 및 플라즈마-개시 가스를 포함할 수 있으며, 그리고 예시적인 아르곤-희석된 증착 프로세스의 서술과 함께 하기에 상세히 서술된다.
또한, 가스 패널(1030)은 다양한 기화된 액체 전구체(precursor)들을 제어 및 공급하는데 사용될 수 있다. 도시되지는 않았지만, 액체 전구체 공급부로부터의 액체 전구체들은 예를 들어 액체 분사 기화기(vaporizer)에 의해 기화될 수 있으며, 또한 캐리어(carrier) 가스의 존재 하에 프로세스 챔버(1025)로 배출(deliver)될 수 있다. 캐리어 가스는 전형적으로 질소와 같은 불활성 가스이며 또는 아르곤 또는 헬륨과 같은 희가스(noble gas)이다. 대안적으로, 액체 전구체는 열 및/또는 진공 강화된 기화 프로세스에 의해 앰풀(ampoule)로부터 기화될 수도 있다.
또한, 샤워헤드(1020) 및 기판 지지 받침대(1050)는 한쌍의 이격된 전극들을 형성할 수 있다. 이들 전극들 사이에 전기장이 발생될 때, 챔버(1025) 내로 도입된 프로세스 가스들이 플라즈마 내로 점화된다. 전형적으로, 짝이룸(matching) 네트웍(도시되지 않음)을 통해 기판 지지 받침대(1050)를 단일 주파수 또는 이중 주파수 무선 주파수(RF) 전력(도시되지 않음)의 소스에 연결함으로써, 전기장이 발생된다. 대안적으로, RF 전력 소스 및 짝이룸 네트웍은 샤워헤드(1020)에 결합될 수도 있으며 또는 샤워헤드(1020) 및 기판 지지 받침대(1050) 모두에 결합될 수도 있다.
PECVD 기술들은 기판 표면 근처의 반응 영역에 전기장의 적용에 의해 반응 가스들의 여자(excitation) 및/또는 해리(disassociation)를 촉진시켜, 반응 종(species)의 플라즈마를 생성한다. 플라즈마의 상기 종의 반응도(reactivity)는 화학 반응이 발생하는데 요구되는 에너지를 감소시켜, 실제로 이런 PECVD 프로세스들에 요구되는 온도를 낮춘다.
가스 패널(1030)을 통한 가스 및 액체 흐름들의 적절한 제어 및 조절은, 질량 흐름 제어기들(도시되지 않음) 및 컴퓨터와 같은 제어 유니트(1010)에 의해 수행된다. 샤워헤드(1020)는 가스 패널(1030)로부터의 프로세스 가스들이 프로세스 챔버(1025) 내로 균일하게 분배 및 도입되게 한다. 실례로, 제어 유니트(1010)는 중앙 처리 장치(CPU)(1012), 지원 회로(1014), 및 관련의 제어 소프트웨어(1016)를 포함하는 메모리들을 포함한다. 이 제어 유니트(1010)는 기판 이송, 가스 흐름 제어, 액체 흐름 제어, 온도 제어, 챔버 비움, 등과 같은 기판 프로세싱에 요구되는 많은 단계들의 자동화된 제어에 책임을 진다. 프로세스 가스 혼합물이 샤워헤드(1020)를 빠져 나올 때, 가열된 기판(1090)의 표면(1091)에서 탄화수소 화합물의 플라즈마 강화된 열 분해가 발생하여, 기판(1090)상의 비정질 탄소층의 증착으로 나타난다.
하드마스크로서 a-C 층을 합체한 예시적인 제조 시?스
도2a-2e는 하드마스크로서 a-C:H 층을 합체한 집적 회로 제조 시?스의 상이한 스테이지들에서 기판(100)의 개략적인 횡단면도를 도시하고 있다. 기판 구조물(150)은 기판(100)상에 형성된 다른 물질층들과 함께 기판(100)을 나타낸다. 도2a는 그 위에 통상적으로 형성되는 물질층(102)을 갖는 기판 구조물(150)의 횡단면도를 도시하고 있다. 물질층(102)은 로우-k 물질 및/또는 SiO2 와 같은 산화물일 수 있다.
도2b는 도2a의 기판 구조물(150)상에 증착된 비정질 탄소층(104)을 도시하고 있다. 비정질 탄소층(104)은 PECVD 와 같은 종래의 수단에 의해 기판 구조물(150)상에 형성된다. 비정질 탄소층(104)의 두께는 프로세싱의 특정한 스테이지에 따라 변할 수 있다. 전형적으로, 비정질 탄소층(1040)은 약 500 Å 내지 약 10000 Å 범위의 두께를 갖는다. 제조 시?스에 사용된 에너지 민감성(energy sensitive) 레지스트 물질(108)의 에칭 화학물(chemistry)에 따라, 에너지 민감성 레지스트 물질(108)의 형성 이전에, 선택적인 캡핑층(capping layer)(도시되지 않음)이 비정질 탄소층(104)상에 형성될 수 있다. 상기 선택적인 캡핑층은 패턴이 그 내부로 전달될 때 비정질 탄소층(104)을 위한 마스크로서 기능하며, 또한 에너지 민감성 레지스트 물질(108)로부터 비정질 탄소층(104)을 보호한다.
도2b에 도시된 바와 같이, 에너지 민감성 레지스트 물질(108)이 비정질 탄소층(104)상에 형성된다. 에너지 민감성 레지스트 물질(108)의 층은 약 2000 Å 내지 약 6000 Å 범위 내의 두께로 기판상에 스핀-코팅(spin-coat)될 수 있다. 대부분의 에너지 민감성 레지스트 물질들은 약 450 nm 미만의 파장을 갖는 또한 일부 적용들에서는 245 nm 또는 193 nm 의 파장들을 갖는 자외선(UV)에 민감하다.
마스크(110)와 같은 패터닝 디바이스를 통해 자외선(130)에 에너지 민감성 레지스트 물질을 노출시킴으로써, 또한 그 후 적절한 디벨로퍼(developer)로 에너지 민감성 레지스트 물질(108)을 전개(develop)함으로써, 에너지 민감성 레지스트 물질(108)의 층 내에 패턴이 도입된다. 에너지 민감성 레지스트 물질이 전개된 후, 도2c에 도시된 바와 같이, 개구들(140)로 구성되는 원하는 패턴이 에너지 민감성 레지스트 물질(108)에 존재한다.
그 후, 도2d에 도시된 바와 같이, 에너지 민감성 레지스트 물질(108)에 한정된 패턴은 마스크로서 에너지 민감성 레지스트 물질(108)을 사용하여 비정질 탄소층(104)을 통해 전달된다. 에너지 민감성 레지스트 물질(108) 위의 비정질 탄소층(104) 및 물질층(102)과 상기 물질층(102)의 표면으로의 개구(140)를 선택적으로 에칭하는 적절한 화학적 에천트(etchant)가 사용된다. 적절한 화학적 에천트들은 오존, 산소 또는 암모니아 플라즈마들을 포함한다.
도2e에 있어서, 하드마스크로서 비정질 탄소층(104)을 사용하여 물질층(102)을 통해 패턴이 전달된다. 이 프로세스 단계에서, 건식 에칭처럼, 즉 비반응성(non-reactive) 플라즈마 에칭처럼 비정질 탄소층(104) 위의 물질층(102)을 선택적으로 제거하는 에천트가 사용된다. 물질층(102)이 패턴화된 후, 기판(100)으로부터 비정질 탄소층(104)이 선택적으로 벗겨질 수 있다. 제조 시?스의 특정한 예에서, a-C:H 하드마스크에 한정된 패턴은, 집적 회로들상에 금속 상호연결부들을 형성하는데 전형적으로 사용되는 물결형 구조물과 같은 집적 회로의 구조물 내로 합체된다.
증착 프로세스
이미 서술한 바와 같이, 비정질의 수소첨가된 탄소는 그 높은 화학적 불활성, 광학적 투명도, 및 용이한 제거로 인해 반도체 적용들의 에칭 하드마스크로서 사용될 수 있는 물질이다. a-C:H 막에 대한 원하는 에칭 선택도는 막 밀도를 증가시킴으로써 달성될 수 있는 것으로 알려져 있다. 아래에 서술되는 본 발명의 면들은 하드마스크들을 위한 효과적인 애쉬어블 능력을 유지하면서, 높은 막 밀도 및 그에 따른 높은 에칭 선택도를 갖는 a-C:H 막을 형성할 수 있는 것으로 본 발명자들에 의해 입증되었다. 높은 에칭 선택도는, 라인 위글링 및 라인 벤딩과 관련된 종래의 문제점 없이 에칭 마진을 개선하고 또한 더욱 작은 특징부들의 제어 가능한 에칭을 허용하고, 따라서 서브 45 nm 아래의 디바이스들에 대해 우수한 라인 무결성을 얻게 하는 더욱 얇은 하드마스크를 가능하게 한다.
본 발명에 따른 증착 프로세스의 일 면에 있어서, 도1과 함께 위에서 서술된 프로세스 챔버와 같은 프로세싱 챔버 내로 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 도입함으로써, a-C:H 막이 형성된다. 그 후, 여자된 CH-라디칼(radical)들을 형성하기 위해 챔버에서 플라즈마가 실시된다. 상기 여자된 CH-라디칼들은 챔버에 위치된 기판의 표면에 화학적으로 결합되어, 그 위에 원하는 a-C:H 막을 형성한다. 탄화수소 소스는 하나 또는 둘 이상의 탄화수소 화합물들의 혼합물일 수 있다. 탄화수소 소스는 액상 탄화수소 화합물과 캐리어 가스의 증기들을 포함하는 가스상(gas-phase) 탄화수소 화합물 및/또는 가스 혼합물을 포함할 수 있다. 일 실시예에서, 탄화수소 화합물은 C2H2 이다. 그러나, 캐리어 가스에 포함된 하나 또는 둘 이상의 기화된 액상 탄화수소 화합물들을 포함하여, 원하는 막에 따라 다른 탄화수소 화합물들이 사용될 수 있다. 헬륨이 용이하게 이온화되기 때문에 플라즈마-개시 가스가 헬륨일 수 있지만, 그러나 아르곤과 같은 다른 가스들이 사용될 수 있다. 희석 가스는 아르곤, 크립톤, 제논과 같은 용이하게 이온화될 수 있고 상대적으로 거대하며(massive) 화학적으로 불활성인 가스이다. 본 발명의 일 실시예에 있어서, 이하에 서술되는 바와 같이 막 밀도를 추가로 증가시키기 위해, 추가적인 수소 희석이 도입될 수 있다.
또한, 탄화수소 화합물들의 부분적으로 또는 완전히 도핑된 유도체(derivative)들을 사용하여 형성된 비정질 탄소 막들은, 본 발명에 의해 이익을 얻을 수 있다. 유도체들은 질소-, 불소(fluorine)-, 산소-, 히드록시기-, 및 탄화수소 화합물들의 유도체를 함유한 붕소- 를 포함한다. 탄화수소 화합물들은 질소를 포함할 수 있고 또는 암모니아와 같은 질소 함유 가스로 증착될 수 있으며, 또는 탄화수소 화합물들은 불소 및 산소와 같은 치환기(substituent)들을 가질 수 있다. 임의의 이들 프로세스들은 본 발명의 방법으로 증착된 도핑되지 않은 a-C:H 막들에 대해 입증된 밀도, 증착율 및 컨포멀리티(conformality) 개선들로부터 이익을 얻을 수 있다. 본 발명의 면들로부터 이익을 얻을 수 있는 프로세스들에 사용될 수 있는 탄화수소 화합물들 또는 그 도핑된 유도체들의 더욱 상세한 서술은, 2005년 2월 24일자로 출원된 발명의 명칭이 "비정질 탄소 막들의 CVD 증착을 위한 액체 전구체들"인 공동으로 양도된 미국 특허 제7,407,893호, 발명의 명칭이 "플라즈마-강화 화학 기상 증착(PECVD)에 의해 컨포멀 비정질 탄소 막을 증착하기 위한 방법"인 공동으로 양도된 미국 특허공개 제2010/0093187호에서 찾아볼 수 있으며, 이들은 청구된 발명과 일치하지 않을 정도로 여기에 참조인용되었다.
일반적으로, 탄화수소 소스에 포함될 수 있는 탄화수소 화합물들 또는 그 유도체들은 화학식 CxHy 로 서술될 수 있으며, 여기서 x 는 1 내지 20 의 범위를 가지며, y 는 1 내지 20 의 범위를 갖는다. 다른 실시예에서, 탄화수소 소스에 포함될 수 있는 탄화수소 화합물들 또는 그 유도체들은 화학식 CxHyFz 로 서술될 수 있으며, 여기서 x 는 1 내지 24 의 범위를 가지며, y 는 0 내지 50 의 범위를 가지며, z 는 0 내지 50 의 범위를 가지며, x 대 y+c 비율은 1:2 또는 그 초과이다. 또 다른 실시예에서, 탄화수소 소스는 산소 및/또는 질소 치환된 화합물들에 대해 화학식 CaHbOcFdNe 로 서술될 수 있으며, 여기서 a 는 1 내지 24 의 범위를 가지며, b 는 0 내지 50 의 범위를 가지며, c 는 0 내지 10 의 범위를 가지며, d 는 0 내지 50 의 범위를 가지며, e 는 0 내지 10 의 범위를 가지며, a 대 b+c+d+e 비율은 1:2 또는 그 초과이다.
적절한 탄화수소 화합물들은 하기 화합물들, 예를 들어 알칸(alkane)들 메탄(CH4), 에탄(C2H6), 프로판(C3H8), 부탄(C4H10) 및 그 이성질체(isomer) 이소부탄, 펜탄(C5H12) 및 그 이성질체들 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 그 이성질체들 2-메틸펜탄스(methylpentance), 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄, 등 중 하나 또는 둘 이상을 포함한다. 추가적인 적절한 탄화수소들은 에틸렌, 프로필렌, 부틸렌 및 그 이성질체들, 펜텐 및 그 이성질체들 등과 같은 알켄들, 부타디엔, 이소프렌, 펜타디엔, 헥사디엔 등과 같은 디엔(diene)들을 포함할 수 있으며, 할로겐화 알켄들은 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 등을 포함한다. 또한, 아세틸렌(C2H2), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌 및 그 유도체들과 같은 알킨들은 탄소 전구체들로서 사용될 수 있다. 또한, 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조산염(benzoate), 페닐 아세테이트, 페놀, 크레졸, 퓨란(furan) 등과 같은 방향족 탄화수소들, 알파-테르피넨(terpinene), 시멘(cymene), 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸퓨퓨릴에테르(butylfurfurylether), 화학식 C3H2 및 C5H4 를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠 등을 포함하는 할로겐화 방향족 화합물들이 사용될 수 있다.
탄화수소 화합물들의 적절한 유도체들의 예들은 플루오르화 알켄들, 할로겐화 알켄들, 및 할로겐화 방향족 화합물들을 포함할 수 있지만, 이에 제한되지 않는다. 플루오르화 알켄들은 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 테트라플루오로메탄, 모노플루오로에탄, 테트라플루오로에탄들, 펜타플루오로에탄, 헥사플루오로에탄, 모노플루오로프로판들, 트리플루오로프로판들, 펜타플루오로프로판들, 퍼플루오로프로판, 모노플루오로부탄들, 트리플루오로부탄들, 테트라플루오로부탄들, 옥타플루오로부탄들, 디플루오로부탄들, 모노플루오로펜탄들, 테트라플루오로헥산들, 테트라플루오로헵탄들, 헥사플루오로헵탄들, 디플루오로옥탄들, 펜타플루오로옥탄들, 디플루오로테트라플루오로옥탄들, 모노플루오로노난(monofluorononane)들, 헥사플루오로노난들, 디플루오로데칸(difluorodecane)들, 펜타플루오로데칸들, 등을 포함할 수 있지만, 이에 제한되지 않는다. 할로겐화 알켄들은 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 등을 포함할 수 있지만, 이에 제한되지 않는다. 할로겐화 방향족 화합물들은 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠 등을 포함할 수 있지만, 이에 제한되지 않는다. 명세서 전체에 걸쳐 서술되는 바와 같은 a-C:H 막은 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼즈, 인코포레이티드로부터 상용적으로 입수할 수 있는 어드밴스트 패터닝 필름(Advanced Patterning Film™)(APF) 물질일 수 있다.
결과적인 막의 흡수 계수를 최소화하기 위해, 약 100℃ 내지 약 650℃ 의 온도와 같은 약 0℃ 내지 약 800℃ 로, 예를 들어 약 200℃ 내지 약 480℃ 의 온도로 기판 온도를 유지함으로써, a-C:H 막이 프로세싱 가스로부터 증착될 수 있다. 프로세스를 작동시키지 않을 때, 프로세스 챔버는 약 1 mTorr 내지 약 5 mTorr 의 온화한 진공으로 유지된다. 챔버 압력을 약 1 Torr 내지 약 5 Torr 로, 또는 2 Torr 내지 약 10 Torr 와 같은 그 이상으로, 또는 20 Torr 와 같은 그 이상으로 유지시킴으로써, a-C:H 막이 프로세싱 가스로부터 증착될 수 있다. 일 실시예에서, 챔버 압력은 약 7 Torr 이상, 예를 들어 8 Torr 내지 약 9 Torr 로 유지된다.
탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스가 챔버 내로 도입되며, 증착을 시작하기 위해 플라즈마가 실시된다. 바람직하게, 플라즈마-개시 가스는 헬륨 또는 용이하게 이온화되는 다른 가스이며 탄화수소 소스 및 희석 가스 전에 챔버 내로 도입되며, 이는 안정한 플라즈마가 형성되게 하며 아킹(arcing)의 가능성들을 감소시킨다. 일 실시예에서, 캐리어 가스에 포함된 하나 또는 둘 이상의 기화된 액상 탄화수소 화합물들을 포함하여, 원하는 막에 따라 위에 서술한 바와 같이 다른 탄화수소 화합물들이 사용될 수 있지만, 탄화수소 소스는 더 많은 표면 유동성(mobility)을 허용하는 더욱 안정한 중간 종의 형성으로 인한 아세틸렌(C2H2)이다. 희석 가스는 아르곤, 크립톤, 또는 제논처럼 적어도 거대한 임의의 희가스일 수 있지만, 그러나 경제적인 이유로 아르곤이 선호된다. 아르곤의 원자량 보다 가벼운 원자량을 갖는 가스들은, 유익한 증착률 및 막 밀도를 달성할 수 없는 능력으로 인해 바람직하지 않은 것으로 자주 간주된다. 그러나, 놀랍게도 본 발명자들은 추가적인 수소 희석이 1000 Mpa 응력으로 막 밀도를 1.98 g/cc 까지 증가시킬 수도 있음을 예기치 않게 발견하였으며, 이는 아래에 상세히 서술되는 바와 같이 현재 최상으로 입수할 수 있는 현존의 애쉬어블 하드마스크들 보다 거의 50%-80% 높은 밀도이다.
이중 주파수 RF 시스템은 플라즈마를 발생하는데 사용될 수 있다. 이중 주파수 RF 전력 적용은 플럭스(flux) 및 이온 에너지의 독립적인 제어를 제공하는 것으로 여겨지는데, 그 이유는 막 표면을 타격(hit)하는 이온들의 에너지가 막 밀도에 영향을 끼치는 것으로 여겨지기 때문이다. 고주파 플라즈마는 플라즈마 밀도를 제어하고 저주파 플라즈마는 기판 표면을 타격하는 이온들의 운동 에너지를 제어하는 것으로 여겨진다. 혼합된 RF 전력의 이중 주파수 소스는, 약 10 KHz 내지 약 1 MHz 의 범위, 예를 들어 약 350 KHz 의 저주파 전력 뿐만 아니라 약 10 MHz 내지 약 30 MHz 의 범위, 예를 들어 약 13,56 MHz 의 고주파 전력을 제공한다. 이중 주파수 RF 시스템이 a-C:H 막을 증착하는데 사용될 때, 혼합된 전체 주파수 전력에 대한 제2RF 전력의 비율은 약 0.6 내지 1.0 보다 작을 수 있다(0.6:1). 적용된 RF 전력과 하나 또는 둘 이상의 주파수들의 사용은 사용되는 기판 크기 및 설비에 기초하여 변할 수 있다. 필요하다면, 단일 주파수 RF 전력 적용이 사용될 수 있으며, 그리고 여기에 서술되는 바와 같이 고주파 전력의 적용이 전형적이다.
약 0.01 내지 약 1 W/㎠ 와 같은 약 0.01 W/㎠ 내지 약 5 W/㎠ 의 기판 표면적에 대한 전력 밀도로 RF 전력을 적용함으로써, 플라즈마가 발생될 수 있다. 일 실시예에서, a-C:H 막의 증착을 위해 사용된 단일 주파수 RF 전력은 약 500 와트 내지 약 3000 와트, 예를 들어 1400 와트이다. 전극 이격거리(spacing), 즉 기판과 샤워헤드 사이의 거리는 약 200 mils 내지 약 1000 mils, 예를 들어 약 280 mils 내지 약 300 mils 이격거리일 수 있다.
아르곤 및 수소 희석 증착 방법의 장점들을 극대화하기 위해, 탄화수소 화합물들의 양에 비례하여 다량의 희석제가 PECVD 챔버 내로 도입되는 것이 중요하다. 그러나, 희석제가 너무 높은 유량으로 챔버 내로 도입되지 않는 것도 마찬가지로 중요하다. 증가하는 희석 유량들로 높은 밀도의 a-C:H 층들이 형성되어, a-C:H 막을 위한 더욱 높은 에칭 선택도를 생산하지만, 높은 밀도는 높은 막 응력을 유발하기도 한다. a-C:H 막의 매우 높은 막 응력은 기판 표면들에 대한 a-C:H 막의 빈약한 고착(adhesion) 및/또는 a-C:H 막의 크래킹(cracking)과 같은 심각한 문제들을 유발한다. 따라서, 탄화수소 화합물에 대해 임의의 분자비(molar ratio)를 넘은 아르곤 및 수소의 추가는 막의 특성들에 악영향을 끼칠 것이다. 따라서, 증착된 막의 원하는 특성들에 따라, PECVD 챔버 내로의, 희석 가스들의 분자 유량 대 탄화수소 화합물의 분자 유량 비율이 약 2:1 내지 약 40:1 로 유지되는 프로세스 윈도우가 있다.
300 mm 원형 기판들을 프로세싱하기 위한 예시적인 증착 프로세스는 플라즈마-개시 가스로서 헬륨을, 탄화수소 소스로서 C2H2 또는 C3H6 를, 희석 가스들로서 아르곤 및 수소를 사용한다. 헬륨의 예시적인 유량은 약 200 sccm 내지 약 1000 sccm 이며, C2H2 또는 C3H6 의 유량은 약 200 sccm 내지 약 1000 sccm 이며, 아르곤의 유량은 약 1000 sccm 내지 약 20000 sccm 이며, 수소의 유량은 약 500 sccm 내지 약 5000 sccm 이다. 일 실시예에서, 아르곤의 유량은 약 10000 sccm 내지 약 14000 sccm 이며, 수소의 유량은 약 800 sccm 내지 약 1000 sccm 이다. 단일 주파수 RF 전력은 약 800 와트 내지 약 1600 와트이다. 이 프로세스를 위한 강력한 매개변수들, 즉 챔버 압력, 기판 온도, 등은 위에 서술된 바와 같다. 이들 프로세스 매개변수들은 633 nm 방사선에 대해 약 0.58 의 흡수 계수와 그리고 약 1.90 g/cc 의 밀도로 약 900 Å/분 내지 1200 Å/분 범위에서 a-C:H 층을 위한 증착률을 제공한다. 일 실시예에서, 탄화수소 소스와 아르곤의 분자 유량은 약 1:10 이상, 예를 들어 1:20 의 비율이다. 일 실시예에서, 아세틸렌(C2H2), 헬륨 가스, 아르곤 가스, 및 수소 가스의 분자 유량은 각각 1:0.5:20:1.4 의 비율이다. 본 기술분야의 숙련자라면 여기에 서술된 바와 상이한 밀도, 흡수 계수, 또는 증착률의 a-C:H 막을 생산하기 위해, 여기에 서술된 바를 읽음에 따라 적절한 프로세스 매개변수들 또는 비율을 계산할 수 있을 것으로 예상된다.
표1은 3개의 각각의 300 mm 원형 기판들상에 증착된 3개의 a-C:H 막들의 비교를 요약하고 있다. 막1-3은 본 발명의 일 면을 사용하여 탄화수소 소스로서 C2H2 또는 C3H6 로 증착되었다. 막4는 현재 반도체 산업에 대한 표준 프로세스로서 간주되는 종래의 헬륨-기반 증착 프로세스를 사용하여 탄화수소 소스로서 C3H6 로 증착되었다.
변수들 막1 막2 막3 막4
기판 온도(℃) 550 550 480 550
챔버 압력(T) 2.5 2.5 4.5 7
HF/LF(W) 1400 1400 1600 1600
전극 이격거리(mil) 300 300 280 280
C2H2 흐름(sccm) 700 560 330(C3H6) 1800(C3H6)
He 흐름(sccm) 400 320 660 700
아르곤 흐름(sccm) 14000 11200 1470 0
수소 흐름(sccm) 1000 800 2000 0
증착률(Å/분) 1020 985 1190 2200
흡수 계수@ 633 nm 0.58 0.60 0.39 0.40
막 밀도(g/cc) 1.90 1.90 1.79 1.40
표1에 있어서, 막1-3들은 막4 보다 실질적으로 낮은 압력에서 그리고 막4의 탄화수소 화합물 1/2 의 유량으로 증착되었다. 특히, 막1-3들은 아르곤과 수소의 다량의 희석 가스들을 사용하여 증착되었다. 표1은 막1-3들의 특성들이 막4 보다 우수한, 즉 상당히 개선된 막 밀도를 나타내고 있다. 막3에 테스트된 아르곤 및 수소 희석제들의 상대적으로 큰 유량의 추가 및 낮은 압력을 제외하고는 유사한 프로세싱 조건들을 갖는 단지 막3 및 막4와 비교하더라도, 막3은 증가된 막 밀도를 제공하는 것으로 발견되었다. 위의 표1은 여기에 서술된 본 발명의 방법을 사용하여 높은 막 밀도로 그리고 따라서 우수한 에칭 선택도로 비정질 탄소 막들이 형성될 수 있다는 것을 나타내고 있다.
본 발명의 실시예에 따르면, 이 방법의 한가지 중요한 장점은 a-C:H 막들의 막 밀도와 그리고 그에 따른 건식 에칭 선택도를 증가시키는 능력이다. 또한, 본 발명의 방법의 면들은 PECVD 챔버의 플라즈마의 실시를 위해 또는 액상 전구체 화학물을 위한 캐리어 가스로서 작용하기 위해 필요한 것 보다 실질적으로 더욱 높은 아르곤의 유량들의 사용이 예상되는 것을 인식해야 한다. 예를 들어, 액상 전구체를 위한 캐리어 가스로서 사용될 때, 300 mm PECVD 챔버 내로의 아르곤의 전형적인 유량은 약 2000 sccm 이하이다. 이런 챔버 내로의 헬륨의 유량은 일반적으로 더욱 작다. 그에 반해, 비정질 탄소 막의 밀도를 증가시키기 위한 희석 가스로서 아르곤의 원하는 유량은 더욱 높은, 즉 약 7000 sccm 보다 크다.
아르곤 이온들은 막 증식(growth) 중 기판의 표면의 폭격(bombarding)에 더욱 효과적인 것으로 밝혀졌다. 임의의 특정 이론에 구속되지 않고, 증착 중 아르곤 이온들의 더욱 강력한 폭격은 플라즈마의 CH- 라디칼들이 고착되어 그에 따라 더욱 조밀한 막을 형성하는 화학적으로 활성인 지역들 및 더욱 많은 단글링(dangling) 결합들을 형성하는 것으로 여겨진다. 또한, 용이하게 이온화되는 가스, 예를 들어 아르곤의 큰 유량은 높은 플라즈마 밀도 및 그에 따른 가스상의 더 많은 -CHX 라디칼 생성을 유발시킬 수 있다. 아르곤 희석과 관련된 더욱 많은 반응성 플라즈마 및 더욱 많은 반응성 막 표면은 높은 증착률 및 높은 막 밀도의 유익한 조합을 함께 유발시킨다. 헬륨 이온들과 같은 가벼운 이온들은 그 적은 질량과 관련된 모멘텀의 결여로 인해 유사한 결과들을 생산할 수 없다. 그러나, 표1과 함께 이미 설명된 바와 같이 추가적인 H2 희석이 막 밀도를 증가시킬 수 있는 것이 놀라웠으며 그리고 예기치 않게 발견되었다. 도3은 a-C:H 막 밀도에 대한 추가적인 수소 희석 가스의 효과를 도시한 그래프이다. 증착 증 수소 유량은 0 sccm 으로부터 3000 sccm 으로 증가되었으며, 막 밀도는 그 프로세싱 중 적용된 높은 수소 유량들에 비례하여 실질적으로 증가하는 것으로 도시되었다. 이것은 수소 희석제의 추가에 의해 비정질 탄소 막의 막 밀도가 추가로 증가될 수 있음을 나타낸다. 임의의 특정 이론에 구속되지 않지만, 추가적인 수소 희석(즉, H2 의 증가된 사용)은 가스 a-C:H 막의 증착률을 감소시키고, 이것은 다시 CVD 플라즈마로부터의 이온 폭격이 증식하는 막의 조밀함(compacting)을 더욱 효과적이게 하고, 따라서 a-C:H 막들을 위한 추가적인 치밀화(densification)를 제공하는 것으로 여겨진다. 또한, 도3은 너무 높은 H2 희석(예를 들어, 2000 sccm 을 초과하는)은 오히려 막 밀도를 감소시킬 수 있음을 도시하고 있다. 또한, 수소의 농도가 너무 높을 때, 증착된 a-C:H 막의 컨포멀리티가 저하된다.
또한, 다른 요소들이 a-C:H 막들을 위한 증착된 막 밀도를 유익하게 증가시킬 수 있고 따라서 건식 에칭 선택도를 증가시킬 수 있는 것으로 결정되었다. 이들 요소들은 예를 들어 탄화수소 소스의 유량의 감소와 프로세싱 압력의 감소를 포함한다. 위의 표1에 도시된 바와 같이, 감소된 챔버 압력 및/또는 탄화수소 소스 유량의 감소는 a-C:H 막의 증착률도 감소시킬 수 있고 그리고 따라서 CVD 플라즈마로부터의 이온 폭격이 증식하는 막의 조밀함을 더욱 효과적이게 하고, 이것은 다시 a-C:H 막들의 막 밀도 및 그에 따른 에칭 선택도를 증가시킨다. 플라즈마의 이온 에너지가 시스(sheath) 전압에 정비례하고, 그리고 기판에 걸친 상기 시스 전압이 감소하는 압력에 의해 증가하고, 그에 따라 막 밀도는 감소하는 압력에 의해 증가할 것으로 예상되기 때문에, 챔버 압력은 막 밀도에 대해 실질적인 효과를 갖는다. 그러나, 저압 플라즈마에서 발견되는 더욱 활동적인 이온들로 인해, 막 밀도는 증가하는 프로세스 압력에 의해 감소된다.
위에 서술된 본 발명의 방법은 a-C:H 막들의 고밀도 막 및 상대적으로 높은 증착률을 모두 허용한다. 헬륨-기반 표준 PECVD 프로세스에 비해, a-C:H 막들의 막 밀도는 아르곤과 수소가 희석 가스로서 다량으로 사용될 때 1.40 g/cc 로부터 약 1.90 g/cc 이상으로 상당히 증가된다. 종래의 비정질 탄소 하드마스크들을 사용하는 서브 45 nm 의 디바이스들에서 통상적으로 발견되는 라인 위글링 및 벤딩 문제점들 없이, a-C:H 막의 증가된 밀도는 하드마스크 막들에 대한 높은 에칭 선택도로 귀결되며, 그에 따라 바람직한 라인 엣지 거칠기, 라인 폭 거칠기, 및 공간 폭 거칠기를 제공한다.
상술한 바는 본 발명의 실시예들에 관한 것이지만, 그 기본적인 범위로부터의 일탈없이 본 발명의 다른 및 추가적인 실시예들이 창작될 수 있으며, 그 범주는 하기의 청구범위들에 의해 결정된다.

Claims (15)

  1. 기판 프로세싱 챔버에서 기판 상에 비정질 탄소층을 형성하는 방법으로서:
    상기 프로세싱 챔버 내로 탄화수소 소스를 도입하는 단계;
    상기 프로세싱 챔버 내로 아르곤을 단독으로 도입하거나 또는 헬륨, 수소, 질소, 및 이들의 조합물들과 조합하여 도입하는 단계 ― 상기 아르곤의 체적 유량 대 탄화수소 소스의 체적 유량 비율은 약 10:1 내지 약 20:1임 ―;
    약 1 Torr 내지 10 Torr 의 실질적으로 더 낮은 압력으로 상기 프로세싱 챔버에서 플라즈마를 발생시키는 단계; 및
    상기 기판 상에 컨포멀(conformal) 비정질 탄소층을 형성하는 단계
    를 포함하는,
    비정질 탄소층을 형성하는 방법.
  2. 제1항에 있어서,
    상기 가스 조합물은 아르곤 및 수소를 포함하며, 수소의 체적 유량 대 탄화수소 소스의 체적 유량 비율은 약 1:1 내지 약 8:1인,
    비정질 탄소층을 형성하는 방법.
  3. 제2항에 있어서,
    상기 수소 가스의 체적 유량 대 탄화수소 소스의 체적 유량 비율은 약 1.4:1 내지 약 6:1인,
    비정질 탄소층을 형성하는 방법.
  4. 제2항에 있어서,
    상기 비정질 탄소층은 약 1.9 g/cc 내지 약 2.5 g/cc 의 막 밀도를 갖는,
    비정질 탄소층을 형성하는 방법.
  5. 제1항에 있어서,
    상기 가스들은 기판 표면으로부터 약 200 mils 내지 약 1000 mils 에 있는 가스 분배기 위치에 의해 상기 프로세싱 챔버 내로 도입되는,
    비정질 탄소층을 형성하는 방법.
  6. 제1항에 있어서,
    상기 탄화수소 소스는 일반식 CxHy 를 갖는 하나 또는 둘 이상의 탄화수소 화합물들을 포함하며, x 는 1 내지 20 의 범위를 가지고, y 는 1 내지 20 의 범위를 갖는,
    비정질 탄소층을 형성하는 방법.
  7. 제6항에 있어서,
    상기 탄화수소 소스는 아세틸렌(C2H2)이며, 상기 가스 조합물은 헬륨, 아르곤, 및 수소를 포함하며, 아세틸렌:헬륨:아르곤:수소의 분자 유량은 1:0.5:20:1.4 의 비율로 이루어진,
    비정질 탄소층을 형성하는 방법.
  8. 제1항에 있어서,
    상기 기판은 상기 비정질 탄소층의 형성 동안에 약 100℃ 내지 약 480℃ 의 온도로 가열되는,
    비정질 탄소층을 형성하는 방법.
  9. 프로세싱 챔버에서 반도체 디바이스를 형성하는 방법으로서:
    상기 프로세싱 챔버 내로 가스 혼합물을 도입함으로써 기판 상에 비정질 탄소층을 형성하는 단계 ― 상기 가스 혼합물은 상기 프로세싱 챔버 내로의, 탄화수소 소스, 플라즈마-개시 가스, 및 아르곤과 수소로 구성된 희석 가스를 포함하며, 상기 아르곤 및 수소의 체적 유량 대 탄화수소 소스의 체적 유량 비율은 약 20:1.4:1임 ―;
    상기 기판 상에 상기 비정질 탄소층을 형성하기 위해 상기 가스 혼합물에서의 탄화수소 소스를 분해하도록 상기 프로세싱 챔버에서 플라즈마를 발생시키는 단계;
    상기 비정질 탄소층의 적어도 하나의 지역에서 패턴을 정의하는 단계; 및
    상기 비정질 탄소층을 마스크로서 사용하여 상기 비정질 탄소층의 적어도 하나의 지역에서 정의된 패턴을 상기 기판 내로 전사(transfer)하는 단계
    를 포함하는,
    반도체 디바이스를 형성하는 방법.
  10. 제9항에 있어서,
    상기 플라즈마는 약 1 Torr 내지 약 10 Torr 의 압력으로 상기 프로세싱 챔버에서 발생되는,
    반도체 디바이스를 형성하는 방법.
  11. 제9항에 있어서,
    상기 아르곤의 유량은 약 1000 sccm 내지 약 20000 sccm 이며, 상기 수소의 유량은 약 500 sccm 내지 약 5000 sccm 인,
    반도체 디바이스를 형성하는 방법.
  12. 제9항에 있어서,
    상기 비정질 탄소층은 약 1.9 g/cc 내지 약 2.5 g/cc 의 막 밀도를 갖는,
    반도체 디바이스를 형성하는 방법.
  13. 제9항에 있어서,
    상기 탄화수소 소스, 상기 플라즈마 개시 가스, 및 상기 희석 가스는 기판 표면으로부터 약 200 mils 내지 약 1000 mils 에 있는 가스 분배기 위치에 의해 상기 프로세싱 챔버 내로 도입되는,
    반도체 디바이스를 형성하는 방법.
  14. 제9항에 있어서,
    상기 탄화수소 소스는, 알칸들 메탄(CH4), 에탄(C2H6), 프로판(C3H8), 부탄(C4H10)과 그 이성질체 이소부탄, 펜탄(C5H12)과 그 이성질체들 이소펜탄과 네오펜탄, 헥산(C6H14)과 그 이성질체들 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄과 2,2-디메틸부탄, 에틸렌, 프로필렌, 부틸렌과 그 이성질체들, 펜텐과 그 이성질체들 등, 부타디엔, 이소프렌, 펜타디엔, 헥사디엔 등, 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌 등을 포함하는 할로겐화 알켄들, 아세틸렌(C2H2), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌과 그 유도체들, 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조산염, 페닐 아세테이트, 페놀, 크레졸, 퓨란 등, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸퓨퓨릴에테르, C3H2와 C5H4, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화 방향족 화합물들로 구성된 그룹으로부터 선택되는,
    반도체 디바이스를 형성하는 방법.
  15. 제9항에 있어서,
    상기 기판은 상기 비정질 탄소층의 형성 동안에 약 100℃ 내지 약 480℃ 의 온도로 가열되는,
    반도체 디바이스를 형성하는 방법.
KR1020127033306A 2010-05-20 2011-04-27 초고도 선택비 애쉬어블 하드마스크 막 KR20130113958A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/784,341 US8361906B2 (en) 2010-05-20 2010-05-20 Ultra high selectivity ashable hard mask film
US12/784,341 2010-05-20
PCT/US2011/034185 WO2011146212A2 (en) 2010-05-20 2011-04-27 Ultra high selectivity ashable hard mask film

Publications (1)

Publication Number Publication Date
KR20130113958A true KR20130113958A (ko) 2013-10-16

Family

ID=44972835

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127033306A KR20130113958A (ko) 2010-05-20 2011-04-27 초고도 선택비 애쉬어블 하드마스크 막

Country Status (6)

Country Link
US (1) US8361906B2 (ko)
JP (1) JP2013526783A (ko)
KR (1) KR20130113958A (ko)
CN (1) CN102934209A (ko)
TW (1) TWI554634B (ko)
WO (1) WO2011146212A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9160116B2 (en) 2012-11-12 2015-10-13 Huawei Technologies Co., Ltd. Connector and electronic device
CN102969624A (zh) * 2012-11-12 2013-03-13 华为技术有限公司 一种连接器及电子设备
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
JP6163820B2 (ja) * 2013-03-27 2017-07-19 日本ゼオン株式会社 エッチング方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
WO2015122981A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102171265B1 (ko) 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN117524848A (zh) 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102612989B1 (ko) * 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212592A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI764002B (zh) * 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7462626B2 (ja) 2018-10-26 2024-04-05 アプライド マテリアルズ インコーポレイテッド パターニング応用のための高密度炭素膜
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US20220181147A1 (en) * 2019-03-25 2022-06-09 Lam Research Corporation High etch selectivity, low stress ashable carbon hard mask
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
WO2020243342A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
KR20230006004A (ko) * 2020-05-05 2023-01-10 램 리써치 코포레이션 하드 마스크 선택도 (selectivity) 개선을 위한 불활성 가스 주입
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230397416A1 (en) * 2022-06-03 2023-12-07 Tokyo Electron Limited Metal Hardmasks
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719968A (en) * 1981-01-15 1988-01-19 Speros Phillip C Heat exchanger
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7037830B1 (en) * 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7579228B2 (en) * 2007-07-10 2009-08-25 Freescale Semiconductor, Inc. Disposable organic spacers
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
KR101357181B1 (ko) 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Also Published As

Publication number Publication date
US20110287633A1 (en) 2011-11-24
TW201204862A (en) 2012-02-01
TWI554634B (zh) 2016-10-21
WO2011146212A2 (en) 2011-11-24
WO2011146212A3 (en) 2012-03-01
CN102934209A (zh) 2013-02-13
JP2013526783A (ja) 2013-06-24
US8361906B2 (en) 2013-01-29

Similar Documents

Publication Publication Date Title
US8361906B2 (en) Ultra high selectivity ashable hard mask film
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US7407893B2 (en) Liquid precursors for the CVD deposition of amorphous carbon films
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
KR102066524B1 (ko) 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착
US20140370711A1 (en) Nitrogen doped amorphous carbon hardmask
TWI425593B (zh) 整合氣隙之方法
KR20130115085A (ko) 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
KR20210116706A (ko) 기판을 프로세싱하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application