TWI425593B - 整合氣隙之方法 - Google Patents

整合氣隙之方法 Download PDF

Info

Publication number
TWI425593B
TWI425593B TW097102891A TW97102891A TWI425593B TW I425593 B TWI425593 B TW I425593B TW 097102891 A TW097102891 A TW 097102891A TW 97102891 A TW97102891 A TW 97102891A TW I425593 B TWI425593 B TW I425593B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric constant
depositing
forming
low dielectric
Prior art date
Application number
TW097102891A
Other languages
English (en)
Other versions
TW200845293A (en
Inventor
Alexandros T Demos
Li-Qun Xia
Bok Hoen Kim
Derek R Witty
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200845293A publication Critical patent/TW200845293A/zh
Application granted granted Critical
Publication of TWI425593B publication Critical patent/TWI425593B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

整合氣隙之方法
本發明之實施例大體上係有關於積體電路的製造。更明確地,本發明之實施例係有關於形成鑲嵌結構(包括含有氣隙之鑲嵌結構)的方法。
自從數十年前積體電路問世以來,此類裝置的尺寸已大幅縮小。積體電路一般依循兩年/尺寸減半的規則(通常稱為摩爾定律(Moore,s Law)),其意指晶片上的裝置數目每兩年即增加一倍。今日生產設施慣常製造特徵尺寸為0.1微米之元件,而明日設施很快將製造更小特徵尺寸之元件。
隨著元件尺寸不斷微縮,以致需要使用介電常數較低之膜層,才能降低相鄰金屬線的電容耦合效應及進一步縮小積體電路上的元件尺寸。特別是絕緣體的介電常數期望可小於約4.0。具低介電常數之絕緣體的例子包括旋塗式玻璃、氟矽酸鹽玻璃(FSG)、摻雜碳之氧化物、和聚四氟乙烯(PTFE),其皆為商業上可取得。
近來已發展介電常數小於約3.5的低介電常數有機矽層。一用來形成低介電常數有機矽層的方法為,使用包含有機矽化合物與含有熱不穩定物質或揮發性官能基之化合物的混合氣體來沉積膜層、接著後處理沉積層以移除沉積層中的熱不穩定物質或揮發性官能基(如有機官能基)。移除沉積層的熱不穩定物質或揮發性官能基後會在膜層內形 成奈米級孔隙,如此將降低膜層的介電常數,例如降至約2.5,此乃因空氣的介電常數約為1。
然而,鑑於積體電路特徵尺寸持續微縮且電路密度不斷增加,仍需形成具更小介電常數之介電層之元件的方法。
本發明大體上提出形成鑲嵌結構的方法,且特別是提出形成含氣隙之鑲嵌結構的方法。在一實施例中,形成鑲嵌結構的方法包含沉積多孔的低介電常數層,包括使有機矽化合物與供應成孔劑之前驅物反應、沉積含成孔劑之材料、以及移除至少一部分的含成孔劑之材料、藉由供應成孔劑之前驅物反應沉積有機層至多孔的低介電常數層上、在有機層和多孔的低介電常數層中形成特徵界定結構(feature definition)、將導電材料填入特徵界定結構、沉積遮罩層至有機層和特徵界定結構內之導電材料上、在遮罩層中形成穿孔以露出有機層、透過穿孔移除部分或全部的有機層、以及在導電材料旁形成氣隙。
在另一實施例中,形成鑲嵌結構的方法包含沉積多孔的低介電常數層,包括使有機矽化合物與α-萜品烯反應、沉積含α-萜品烯之材料、以及移除含α-萜品烯之材料中至少一部分的α-萜品烯、藉由α-萜品烯反應沉積非晶碳層至多孔的低介電常數層上、在非晶碳層和多孔的低介電常數層中形成特徵界定結構、將導電材料填入特徵界定結構、沉積碳化矽系材料至非晶碳層和特徵界定結構內之導電材 料上、在碳化矽系材料中形成穿孔以露出非晶碳層、透過穿孔移除部分或全部的非晶碳層、以及在導電材料旁形成氣隙。
在又一實施例中,形成鑲嵌結構的方法包含沉積多孔的低介電常數層,包括使有機矽化合物與α-萜品烯反應、沉積含α-萜品烯之材料、以及移除含α-萜品烯之材料中至少一部分的α-萜品烯、藉由α-萜品烯反應而原位沉積非晶碳層至多孔的低介電常數層上、在非晶碳層和多孔的低介電常數層中形成特徵界定結構、將導電材料填入特徵界定結構、沉積碳化矽系材料至非晶碳層和特徵界定結構內之導電材料上、在碳化矽系材料中形成穿孔以露出非晶碳層、利用電漿蝕刻製程、濕蝕刻製程、退火製程、電子(電子束)固化製程、紫外線固化製程、或其組合物,透過穿孔移除部分或全部的非晶碳層、在導電材料旁形成氣隙、以及沉積非多孔的低介電常數層至碳化矽層上。
本發明大體上提出形成含氣隙之鑲嵌結構的方法。形成氣隙的方法大致包括沉積有機層、在有機層中形成內連線結構(如水平內連線或溝槽)、將導電材料填入內連線結構、沉積膜層至有機層和介層洞內之導電材料上、以及透過有機層上之膜層的穿孔移除部分或全部的有機層。移除部分或全部的有機層會在由有機層組成之介電層中形成氣隙。在介電層中形成氣隙將降低介電層的介電常數。
在另一態樣中,本發明亦提出先構成介層洞(via-first)的雙嵌結構形成方法。先構成介層洞的雙嵌結構形成方法和形成含氣隙之鑲嵌結構的方法將參照第1A~1O圖說明於下。
第1A圖繪示之結構100包括第一光阻材料102於其上。第一光阻材料102內含第一圖案103。結構包括基材層104,導電特徵結構106則形成在基材層104中。阻障層108位於導電特徵結構106和基材層104上。阻障層108可為碳化矽層或摻雜之碳化矽層,如取自美國加州聖克拉拉之應用材料公司(Applied Materials,Inc.)的BLOk®層。
第一介電層110形成在阻障層108上。第一介電層110可為多孔的低介電常數層(如碳氧化矽層(SiOC))。介電層110的介電常數(k)可為約3.0或以下,例如約2.5或以下。沉積多孔低介電常數層的方法包括使有機矽化合物與供應成孔劑之前驅物(如碳氫化合物)反應來沉積碳氧化矽層、接著移除膜層中的成孔劑材料(如碳氫化合物的有機材料)而於膜層內形成孔。藉由後處理可移除成孔劑材料,例如紫外線(UV)處理或電子束處理。
一形成多孔低介電常數層的方法實施例如下。供應成孔劑之前驅物包括一或多個具至少一環官能基的有機化合物和一或多個有機矽化合物,其充分與氧化氣體反應而沉積低介電常數層於半導體基材上。沉積方法可採取能進行化學氣相沉積(CVD)之電漿輔助處理室。可利用脈衝式射頻(RF)、高頻RF、雙頻、雙相位RF、或其他已知或未知 之電漿產生技術來產生電漿。沉積膜層後,例如以電子束固化處理膜層而移除懸垂的有機官能基(如已於沉積期間併入膜層網絡之有機化合物的環官能基)。
固化步驟提供膜層網絡能量,以揮發及移除膜層網絡中至少一部分的環官能基而留下更多孔、介電常數更小的膜層網絡。在大部分的例子中,根據所述實施例沉積及固化之膜層比未固化之膜層的硬度至少強化兩倍,甚至達600%。相較於傳統固化技術,以電子束固化膜層可有效降低k值及增強硬度。經固化之膜層的介電常數一般為約2.5或以下,較佳為約2.2或以下,且硬度大於約0.6GPa。
「有機矽化合物」在此是指有機官能基含碳原子的化合物,且官能基可為環狀或線性。有機官能基除了其官能性衍生物外,還可包括烷基、烯基、環己烯羥基、和芳基。較佳地,有機矽化合物包括一或多個連接矽原子的碳原子,因此碳原子在適當處理條件下氧化也不會輕易被移除。有機矽化合物最好還包括一或多個氧原子。在一態樣中,有機矽化合物中氧原子與矽原子的比例較佳至少為1:1,更佳至少為2:1,例如約4:1。
適合的環狀有機矽化合物包括具有三或多個矽原子的環結構,或選擇性包括一或多個氧原子。商業上可取得之環狀有機矽化合物包括矽原子與氧原子交替的環結構,且一或二個烷基連結矽原子。環狀有機矽化合物的一些例子包括:
適合的線性有機矽化合物包括具有直線或分支結構的脂肪族有機矽化合物且含一或多個矽原子與一或多個碳原子。有機矽化合物更包括一或多個氧原子。線性有機矽化合物的一些例子包括:
供應成孔劑之前驅物包括一或多個具至少一環官能基的有機化合物,其做為成孔劑或成孔劑材料。「環官能基」在此是指環結構。環結構最少含有三個原子。原子例如包 括碳、矽、氮、氧、氟、和其組合物。環官能基可包括一或多個單鍵、雙鍵、三鍵、和其組合物。例如,環官能基包括一或多個芳香族基、芳基、苯基、環己烷基、環己二烯基、環庚二烯基、和其組合物。環官能基亦可為二環或三環。另外,環官能基最好連結直線或分支的官能基。直線或分支的官能基較佳含有烷基或乙烯基烷基,且具有1~20個碳原子。直線或分支的官能基還可包括氧原子,例如酮、醚、和酯。一些具至少一環官能基的化合物例子包括α-萜品烯(ATP)、乙烯基環己烷(VCH)、和苯基乙酸酯,在此僅列舉少數。
適合的氧化氣體包括氧氣(O2 )、臭氧(O3 )、一氧化二氮(N2 O)、一氧化碳(CO)、二氧化碳(CO2 )、水(H2 0)、2,3-丁二酮、或其組合物。氧氣或含氧之化合物可先在微波室中解離、再進入沉積室,以減少含矽之化合物過度解離。較佳地,射頻(RF)功率可施加至反應區來促進解離。
電子束處理的劑量一般為以約1~20仟電子伏特(KeV)施予約50至約2000微庫侖/平方公分(μc/cm2 )。電子束處理的操作溫度一般為約室溫至約450℃,歷時約1分鐘至約15分鐘,例如約2分鐘。較佳地,電子束處理是以約400℃進行約2分鐘。在一態樣中,電子束處理條件包括4.5仟伏(kV)、1.5毫安培(mA)、500 μc/cm2 、和400℃。電子束裝置一例為取自應用材料公司的EBK腔室,但任一電子束裝置皆可使用。
電子束固化製程可改善沉積層網絡的機械強度,並降 低k值。能量化之電子束改變了沉積層分子網絡的化學鍵結,及移除膜層中至少一部分的分子官能基。移除分子官能基將於膜層中形成孔隙或細孔,進而降低k值。從傅立葉轉換紅外線(FTIR)光譜可推斷,電子束處理亦藉由Si-O-Si鏈或Si-C-Si鏈交聯而強化膜層網絡。
較佳地,沉積層的碳含量為約10~30原子%,例如固化後為介於約10~30原子%之間。沉積層的碳含量涉及膜層結構的元素分析。碳含量代表沉積層中屏除氫原子外的碳原子百分比,其難以定量。例如,若膜層平均具有一個矽原子、一個氧原子、一個碳原子與二個氫原子,則其碳含量為20原子%(每五個原子有一個碳原子)、或者屏除氫原子外的碳含量為33原子%(每三個原子有一個碳原子)。
沉積時,基材一般維持在約-20℃至約450℃。就200毫米(mm)之基材而言,功率密度通常為約0.03瓦/平方公分(W/cm2 )至約3.2W/cm2 ,RF功率為約10W至約2000W。較佳地,RF功率為約300W至約1700W。RF功率的施加頻率為約0.01MHz為約300MHz。RF功率可為循環式或脈衝式,藉以減少基材熱能並提高沉積層的多孔性。RF功率也可為連續式或非連續式。根據所述實施例沉積低k介電層之處理室的例子將描述於下。
以下實施例說明本發明之低k介電層。膜層乃利用化學氣相沉積室(如取自美國加州聖克拉拉之應用材料公司的Producer DxZ系統)沉積在200mm之基材上。
實施例1:
在約8托耳和約200℃下,分別沉積低介電常數層至三個200mm之基材上。所用處理氣體和流速如下: α-萜品烯(ATP),3000mgm;二乙氧基甲基矽烷(DEMS),800mgm;以及二氧化碳,1000sccm。
各基材相距氣體分配噴頭300密爾(mil)。以13.56MHz之頻率施加600W之功率於噴頭,以進行電漿輔助沉積。各層的沉積速率為約2700埃/分鐘(/min),使用SSM 5100Hg CV測量工具在0.1MHz下測得之介電常數(k)為約5.4。另外,各層的硬度為約0.1GPa。
熱退火: 第一沉積層施予熱退火處理。退火處理的溫度為約425℃、壓力為約10托耳、並在鈍氣環境中進行約4小時。退火時間越短,所得k值越大。經熱退火之膜層具有較小的k值(約2.1)且硬度為約0.2GPa。
電子束@400℃: 第二沉積層施予高溫電子束處理,其以約4.5KeV與1.5mA、和約400℃施予約300 μc/cm2 之劑量。電子束處理持續進行約2分鐘。電子束處理後,膜層的介電常數為約2.1,此比未固化膜層小約60%且近似熱退火膜層的最小值。電子束處理膜層的硬度為約0.7GPa,此比未固化膜層高約600%且比熱退火膜層高約250%。
電子束@室溫: 第三沉積層施予低溫電子束處理,其以約4.5KeV與1.5mA、和約35℃施予約300 μc/cm2 之劑量。電子束處理持續進行約2分鐘。電子束處理後,膜層的介電常數為約2.3,此比未固化膜層小約57%。電子束處理膜層的硬度為約0.5GPa,此比未固化膜層高約400%且比熱退火膜層高約150%。
實施例2:
在約8托耳和約225℃下,分別沉積低介電常數層至三個基材上。所用處理氣體和流速如下:α-萜品烯(ATP),3000mgm;二乙氧基甲基矽烷(DEMS),800mgm;二氧化碳,1500sccm;以及氧氣,100sccm。
各基材相距氣體分配噴頭300密爾。以13.56MHz之頻率施加600W之功率於噴頭,以進行電漿輔助沉積。各層的沉積速率為約1800/min,使用SSM 5100Hg CV測量工具在0.1MHz下測得之介電常數(k)為約2.85。另外,各層的硬度為約0.23GPa。
熱退火: 第一沉積層施予熱退火處理。退火處理的溫度為約450℃、壓力為約10托耳、並在鈍氣環境中進行約30分鐘。退火時間越短,所得k值越大。經熱退火之膜層具有約1.29之折射係數(RI)、較小的k值(約2.08)且硬度為約0.23GPa。
電子束@400℃與200μc/cm 2 第二沉積層施予高溫電子束處理,其以約4.5KeV與1.5mA、和約400℃施予約200 μc/cm2 之劑量。電子束處理持續進行約100秒。電子束處理後,膜層的介電常數為約2.07,此比未固化膜層小約27%且近似熱退火膜層的最小值。電子束處理膜層的硬度為約0.42GPa,此比未固化膜層和熱退火膜層高約80%。
電子束@室溫與500 μc/cm2: 第三沉積層施予低溫電子束處理,其以約4.5KeV與1.5mA、和約35℃施予約500 μc/cm2 之劑量。電子束處理持續進行約250秒。電子束處理後,膜層的介電常數為約2.14,此比未固化膜層小約25%。電子束處理膜層的硬度為約0.74GPa,此比未固化膜層和熱退火膜層高約220%。
實施例3:
在約8托耳和約225℃下,分別沉積低介電常數層至二個基材上。所用處理氣體和流速如下: α-萜品烯(ATP),4000mgm;八甲基環四矽氧(OMCTS),200mgm;氧氣,200sccm;以及二氧化碳,2000sccm。
各基材相距氣體分配噴頭約300密爾。以13.56MHz之頻率施加500W之功率於噴頭,以進行電漿輔助沉積。各層的沉積速率為約1000/min,使用SSM 5100 Hg CV 測量工具在0.1MHz下測得之介電常數(k)為約4.0。另外,各層的硬度為約0.1GPa。
電子束@400℃與120 μc/cm 2 第一沉積層施予高溫電子束處理,其以約4.5KeV與1.5mA、和約400℃施予約120 μc/cm2 之劑量。電子束處理持續進行約30秒。電子束處理後,膜層的介電常數為約1.9,此比未固化膜層小約52%。電子束處理膜層的硬度為約0.5GPa,此比未固化膜層高約400%。
電子束@室溫與600 μc/cm 2 第二沉積層施予低溫電子束處理,其以約4.5KeV與1.5mA、和約35℃施予約600 μc/cm2 之劑量。電子束處理持續進行約150秒。電子束處理後,膜層的介電常數為約2.2,此比未固化膜層小約45%。電子束處理膜層的硬度為約0.8GPa,此比未固化膜層高約700%。
實施例4:
在約8托耳和約225℃下,沉積低介電常數層至基材上。所用處理氣體和流速如下: ATP,3000mgm;TMS,500sccm;DEMS,600mgm;氧氣,100sccm;以及二氧化碳,1500sccm。
基材相距氣體分配噴頭約300密爾。以13.56MHz之 頻率施加600W之功率於噴頭,以進行電漿輔助沉積。膜層的沉積速率為約2000/min,使用SSM 5100 Hg CV測量工具在0.1MHz下測得之介電常數(k)為約4.3。另外,膜層的硬度為約0.1GPa。
電子束@400℃與200 μc/cm 2 沉積層施予高溫電子束處理,其以約4.5KeV與1.5mA、和約400℃施予約200 μc/cm2 之劑量。電子束處理持續進行約30秒。電子束處理後,膜層的介電常數為約2.2,此比未固化膜層小約50%。電子束處理膜層的硬度為約0.7GPa,此比未固化膜層高約600%。
實施例5:
在約8托耳和約225℃下,沉積低介電常數層至基材上。所用處理氣體和流速如下: ATP,4000mgm;TMS,1000sccm;OMCTS,200mgm;氧氣,100sccm;以及二氧化碳,1500sccm。
基材相距氣體分配噴頭約300密爾。以13.56MHz之頻率施加500W之功率於噴頭,以進行電漿輔助沉積。膜層的沉積速率為約1600/min,使用SSM 5100 Hg CV測量工具在0.1MHz下測得之介電常數(k)為約4.5。另外,膜層的硬度為約0.1GPa。
電子束@400℃與200 μc/cm 2 沉積層施予高溫電子束處理,其以約4.5KeV與1.5mA、和約400℃施予約200 μc/cm2 之劑量。電子束處理持續進行約30秒。電子束處理後,膜層的介電常數為約2.3,此比未固化膜層小約50%。電子束處理膜層的硬度為約0.7GPa,此比未固化膜層高約600%。
其他有關形成多孔低介電常數層之方法的描述可參見美國專利證書號7,060,330,其一併引用於此供作參考。
一般來說,沉積低介電常數碳氧化矽層之方法可為電漿輔助化學氣相沉積法。多孔的低介電常數碳氧化矽層一例為取自應用材料公司的Black DiamondII層。用來沉積低介電常數碳氧化矽層之腔室一例為取自應用材料公司的Producer腔室。
第二介電層112形成在第一介電層110上。第二介電層112可為有機層,例如非晶碳層。可用的非晶碳層一例為取自應用材料公司的APFTM 層。有機層可包括聚合材料。聚合材料一例為旋塗式有機材料,例如氟化與非氟化聚芳香醚(取自聯合信號公司(Allied Signal Co.)的商品FLARE 1.0和2.0)、聚芳香醚(取自Schumacher公司的商品PAE2-3)、二乙烯矽氧苯并環丁烷(DVS-BCB)、或類似的產品和空氣膠。
形成有機層的方法如下。非晶碳材料是以化學氣相沉積(CVD)製程沉積而得。在非晶碳沉積製程之一實施例中,非晶碳層的形成包括使用碳氫化合物與諸如氬氣(Ar) 和氦氣(He)之鈍氣的混合氣體。碳氫化合物的化學式為Cx Hy ,x為2~4,y為2~10。碳氫化合物例如為丙烯(C3 H6 )、丙炔(C3 H4)、丙烷(C3 H8 )、丁烷(C4 H10 )、丁烯(C4 H8 )、丁二烯(C4 H6 )、或乙炔(C2 H2 )、和其混合物。同樣地,各種氣體也可依需求加入混合氣體,例如氫氣(H2 )、氮氣(N2 )、氨氣(NH3 )、和其混合物等。Ar、He、和N2 用來控制非晶碳層的密度與沉積速率。添加H2 及/或NH3 則可控制非晶碳層的含氫比例,此將說明於下。
下列沉積製程參數一般可用來形成非晶碳層。製程參數包括晶圓溫度為約100℃至約500℃、腔室壓力為約1托耳至約20托耳、碳氫化合物(Cx Hy )之氣體流速為約50sccm至約500sccm(每8吋(in)晶圓)、RF功率為約3W/in2 至約20W/in2 、平板間距為約300密爾至約600密爾。上述製程參數通常使非晶碳層約沉積速率達約100/min至約1000/min,且可施行於取自應用材料公司之沉積室中200mm之基材上。
剛沈積(as-deposited)之非晶碳層的碳氫比例可加以調整,其含約10%的氫至約60%的氫。非晶碳層的光吸收係數(k)在約250奈米(nm)以下之波長為約0.1至約1.0,故其適合當作深紫外線(DUV)波長範圍的抗反射塗層(ARC)。非晶碳層的吸收係數亦與混合氣體之添加物有關。特別是,混合氣體含有H2 、NH3 、N2 、或其混合物可提高k值約10%至約100%。
在非晶碳沉積製程之另一實施例中,非晶碳層是藉由 在低製程溫度下(如低於450℃),分解含碳氫化合物與鈍氣之混合氣體而沉積得之。混合氣體中的碳氫化合物具有5個以上的碳原子,如此可促進基材特徵結構之側壁和基材表面的共形沉積反應,因而改善非晶碳層的沉積一致性和步階覆蓋性。
非晶碳沉積製程始於引入含至少一碳氫化合物與鈍氣之混合氣體到處理室。碳氫化合物最好具有5個以上的碳原子,其化學式為Cx Hy ,X為5~10,y為6~22。適合的碳氫化合物為飽和或不飽和脂肪族或脂環族碳氫化合物和芳香族碳氫化合物。脂肪族碳氫化合物的適合例子包括烷烴(如戊烷、己烷、庚烷、辛烷、壬烷、癸炕等)、烯烴(如戊烯等)、二烯(如異戊二烯、戊二烯、己二烯等)、炔烴(如乙炔、乙烯乙炔等)等。脂環族碳氫化合物的適合例子包括環丙烷、環丁烷、環戊烷、環戊二烯、甲苯等。芳香族碳氫化合物的適合例子包括苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、乙醯苯、苯甲酸甲酯、乙酸苯基酯、酚、甲酚、呋喃等。此外,也可採用α-萜品烯、異丙基甲苯、1,1,3,3-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基丙烯酸甲酯、和t-丁基呋喃醚。在此實施例中,混合氣體中的碳氫化合物為甲苯(C7 H8 )、苯、或己烷。
碳氫化合物更可包括氧,例如以氧原子取代苯環之碳原子的化合物。含氧之化合物可包含氫氧基。可使用二種以上之碳氫化合物混合物來沉積非晶碳材料。
或者,可使用部分或完全摻雜之碳氫化合物衍生物。 衍生物包括氮、氟、氧、氫氧基與含硼之碳氫化合物衍生物、和其氟化衍生物。碳氫化合物之氟化衍生物的例子包括氟化烷烴、鹵化烷烴、和鹵化芳香族化合物。氟化烷烴例如包括單氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、單氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、單氟丙烷、三氟丙烷、五氟丙烷、八氟丙烷、單氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、單氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、單氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等。鹵化烯烴包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等。鹵化芳香族化合物包括單氟苯、二氟苯、四氟苯、六氟苯等。
諸如氬氣(Ar)及/或氦氣(He)之鈍氣伴隨混合氣體供應至處理室內。其他諸如氮氣(N2 )與一氧化氮(NO)的鈍氣亦可用來控制非晶碳層的密度與沉積速率。此外,混合氣體也可加入其他處理氣體,藉以改質非晶碳材料。在一實施例中,處理氣體為反應氣體,例如氫氣(H2 )、氨氣(NH3 )、氫氣(H2 )與氮氣(N2 )之混合物、或其組合物。添加H2 及/或NH3 可控制非晶碳層的含氫比例(如碳與氫的比值)。非晶碳層的含氫比例將影響膜層性質,例如反射比。
傳統沉積製程一般是在超過約550℃下進行。在一實施例中,處理室內的基材溫度維持呈約100℃至約500℃。在另一實施例中,基材溫度維持呈約250℃至約450℃。非晶碳材料可在RF電漿存在且基材溫度為低於450℃的環境 中沉積於基材上。
沉積期間,可依需求調整製程參數。在適合處理300mm之基材的實施例中,可施加約50瓦至約2000瓦(如約1000瓦至約1600瓦)之RF功率或約1.35W/cm2 至約2.35W/cm2 之功率密度,以維持混合氣體構成之電漿。碳氫化合物的供應流速為約200sccm至約1000sccm。鈍氣的供應流速為約200sccm至約10000sccm。處理壓力保持呈約1托耳至約20托耳,例如約4托耳至約10托耳。基材與噴頭的間距為約200密爾至約1000密爾。
在一實施例中,利用雙頻系統來沉積非晶碳材料。雙頻咸信可個別獨立控制通量和離子能量。高頻電漿控制電漿密度。低頻電漿則控制抵達基材表面的離子動能。混合式RF功率雙頻源提供約10MHz至約30MHz的高頻功率(如約13.56MHz)和約10KHz至約1MHz的低頻功率(和約350KHz)。混頻RF功率的應用例子包括以約50瓦至約2000瓦之功率(如約1000瓦至約1600瓦)與約0.27W/cm2 至約1.7W/cm2 之功率密度施加頻率為約10MHz至約30MHz的第一RF功率、和以約10瓦至約2000瓦之功率(如約15瓦至約1000瓦)與約0.27W/cm2 至約1.4W/cm2 之功率密度施加至少一頻率為約10KHz至約1MHz的第二RF功率。第二RF功率與總混頻功率的比例較佳為小於約0.6:1.0(0.6:1)。RF功率和一或多個頻率的使用可視基材大小和所用設備而定。
在非晶碳沉積製程之又一實施例中,非晶碳層是藉由 引入碳氫化合物源、電漿引發氣體、和稀釋氣體至處理室內而沉積得之。碳氫化合物源為一或多個碳氫化合物的混合物,例如氣相碳氫化合物(較佳為C3 H6 )及/或包括液相與載氣之蒸汽的混合氣體。電漿引發氣體較佳為氦氣,因其容易離子化;但也可採用其他諸如氬氣之氣體。稀釋氣體為易離子化、較大且具化學惰性之氣體,較佳為氬氣、氪氣、氙氣。
此外,本發明之方法亦受惠於利用部分或完全摻雜之碳氫化合物衍生物形成非晶碳層。衍生物包括氮、氟、氧、氫氧基與含硼之碳氫化合物衍生物、和其氟化衍生物。碳氫化合物可包含氮、或可由含氮氣體(如氨氣)沉積而得,或者碳氫化合物可具如氟與氧之取代基。這些製程可改善以本發明方法沉積之未摻雜非晶碳層的密度、沉積速率、和均一性。可用於製程且有益本發明態樣的摻雜之碳氫化合物衍生物與其混合物更詳述於美國專利公開號2005/0287771、名稱「用於化學氣相沉積非晶碳層的液態前驅物(Liquid Precursors for the CVD deposition of Amorphous Carbon Layers)」、西元2005年2月24日申請之申請案,其一併引用於此且不與申請專利範圍相悖。
碳氫化合物或其可涵括在碳氫化合物源之衍生物的化學式一般可表示成CA HB OC FD ,A為1~24,B為0~50,C為0~10,D為0~50,且B與D加起來至少為2。碳氫化合物的適合例子包括飽和或不飽和脂肪族碳氫化合物、飽和或不飽和脂環族碳氫化合物、和芳香族碳氫化合物。
脂肪族碳氫化合物例如包括烷烴(如甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷等)、烯烴(如乙烯、丙烯、丁烯、戊烯等)、二烯(如丁二烯、異戊二烯、戊二烯、己二烯等)、炔烴(如乙炔、乙烯乙炔等)等。脂環族碳氫化合物例如包括環丙烷、環丁烷、環戊烷、環戊二烯、甲苯等。芳香族碳氫化合物例如包括苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、乙醯苯、苯甲酸甲酯、乙酸苯基酯、酚、甲酚、呋喃等。此外,也可選用α-萜品烯、異丙基甲苯、1,1,3,3-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基丙烯酸甲酯、和t-丁基呋喃醚。
碳氫化合物衍生物的適合例子包括氟化烷烴、鹵化烯烴、和鹵化芳香族化合物。氟化烷烴例如包括單氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、單氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、單氟丙烷、三氟丙烷、五氟丙烷、八氟丙烷、單氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、單氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、單氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等。鹵化烯烴包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等。鹵化芳香族化合物包括單氟苯、二氟苯、四氟苯、六氟苯等。
以氬氣稀釋的非晶碳沉積製程為電漿輔助化學氣相沉積(PECVD)製程。非晶碳層可由處理氣體沉積而得,且基材溫度維持呈約100℃至約450℃,較佳為約300℃至約 450℃,以降低生成膜層的吸收係數。製程更包括將腔室壓力維持在約2托耳至約8托耳之間。將碳氫化合物源、電漿引發氣體、和稀釋氣體引進腔室內,並產生電漿而開始沉積。較佳地,電漿引發氣體為氦氣或其他易離子化之氣體,且比碳氫化合物源與稀釋氣體早引入腔室,如此可形成穩定電漿及減少電弧現象。電漿是以約0.7W/cm2 至約3W/cm2 之功率密度(較佳為約1.1~2.3W/cm2 )施加RF功率於基材表面而產生。電極間距(即基材與噴頭間的距離)為約200密爾至約1000密爾。
雙頻RF系統可用來產生電漿。一般認為雙頻可個別獨立控制通量和離子能量,此乃因抵達膜層表面的離子能量會影響膜層密度。高頻電漿控制電漿密度,低頻電漿則控制抵達晶圓表面的離子動能。混合式RF功率雙頻源提供約10MHz至約30MHz的高頻功率(如約13.56MHz)和約10KHz至約1MHz的低頻功率(如約350KHz)。當利用雙頻RF系統來沉積非晶碳層時,第二RF功率與總混頻功率的比例較佳為小於約0.6:1.0(0.6:1)。RF功率和一或多個頻率的使用可視基材大小和所用設備而定。
視預定之沉積層性質而定,引進PECVD室之稀釋氣體(如氬氣)與碳氫化合物的莫耳流速比較佳為保持在約2:1至約40:1之間。就沉積某些非晶碳層而言,比例最好介於約10:1至約14:1之間。
用於處理300mm之圓形基材的沉積製程一例採用氦氣做為電漿引發氣體、丙烯(C3 H6 )做為碳氫化合物源、氬 氣做為稀釋氣體。氦氣的流速為約200sccm至約5000sccm,C3 H6 的流速為約300sccm至約600sccm,氬氣的流速為約4000sccm至約10000sccm。單頻RF功率為約800W至約1600W。腔室壓力、基材溫度等製程參數如同上述。這些製程參數可使非晶碳層的沉積速率達約2000/min至約6000/min、密度達約1.2克/立方公分(g/cc)至約1.8g/cc、且633nm輻射光之吸收係數為約0.10。熟諳此技藝者在閱讀此敘述後,當可改變製程參數而得到不同密度、吸收係數、或沉積速率的非晶碳層。
包括沉積非晶碳層以形成有機層之方法的其他說明可參見美國專利證書號6,573,030、美國專利申請號11/451,916、名稱「低溫沉積非晶碳層的方法(Methods for Low Temperature Deposition of an Amorphous Carbon Layer)」、西元2006年7月13日申請之申請案、和美國專利申請號11/427,324、名稱「沉積具較高密度與較佳步階覆蓋性之非晶碳層的方法(Method for Depositing an Amorphous Carbon Layer With Improved Density and Step Coverage)」、西元2006年6月28日申請之申請案,其一併引用於此供作參考。
非晶碳層亦可利用其他化學氣相沉積(CVD)法或電漿輔助化學氣相沉積(PECVD)法沉積而得。用來沉積非晶碳層之腔室一例為取自應用材料公司的ProducerAPF腔室。
藉由氣相沉積供應成孔劑之有機前驅物可沉積有機 層,供應成孔劑之有機前驅物例如為可結合使用含矽前驅物的碳氫化合物,如此可形成多孔的低介電常數碳氧化矽層,例如第一介電層110。有機層和低介電常數層可在同一處理室或同一處理工具中原位沉積而得。另外,停止流入沉積氣體的有機矽化合物及利用低介電常數製程中各供應成孔劑之前驅物沉積有機層,可使有機層依序沉積在低介電常數層上。
雖然圖中顯示介電層110乃直接接觸介電層112,但在第2圖之另一實施例中,介電層110、112間存有蝕刻終止層114。蝕刻終止層114可為氧化物層,其在後續處理步驟期間提供介電層110、112更佳的蝕刻選擇性。或者,蝕刻終止層114可為第一介電層110經氧化的上表面。藉由在沉積第一介電層終了增加氧化氣體的流量、或進行沉積後固化步驟以於第一介電層中形成細孔,可形成氧化之上表面。
回到第1A圖,硬罩層116形成在第二介電層112上。硬罩層116可為碳化矽層。結構100尚包括氧化物層118於硬罩層116上。氧化物層118做為後續在第二介電層中形成溝槽的硬罩層,硬罩層116則做為後續在第一介電層中形成介層洞的硬罩。
如第1B圖所示,第一光阻材料102的第一圖案103轉移穿過氧化物層118並以硬罩層116當作蝕刻終止層。第一光阻材料102的第一圖案103對應在後續步驟形成於第二介電層112中的溝槽圖案。
如第1C圖所示,接著移除第一光阻材料102。如第1D圖所示,接著沉積第二光阻材料120及圖案化成第二圖案105。第二圖案105位於因第一光阻材料102的第一圖案103轉移穿過氧化物層118而移除氧化物層118之氧化物層區域121上的第二光阻材料120內。故如第1E圖所示,第二光阻材料120的第二圖案105可直接透過硬罩層116轉移,而不會透過部分氧化物層118轉移。
如第1F圖所示,接著移除第二光阻材料120,且硬罩層116的第二圖案105轉移穿過第二介電層112。如第1G圖所示,硬罩層116的第二圖案105也轉移穿過第一介電層110。亦如第1G圖所示,第一光阻材料102在氧化物層118中構成的第一圖案103轉移到硬罩層116內。如第1H圖所示,第一光阻材料102在氧化物層與硬罩層116中構成的圖案接著轉移穿過第二介電層112。
接著,如第1I圖所示,蝕刻導電特徵結構106上的阻障層108而露出導電特徵結構106。視情況而定,在蝕刻阻障層108前,例如以電漿預清潔結構100。在預清潔前,可形成氧化物密封層(未繪示)於第二介電層112上,以保護第二介電層112。
接著,如第1J圖所示,沉積導電材料130於結構100上。導電材料130填充第二介電層中的溝槽層131和第一介電層中的介層洞層133。故在一態樣中,第一介電層110供作介層洞層介電質,其具有填入導電材料的介層洞;第二介電層112供作溝槽層介電質,其具有填入導電材料的 填入導電材料的溝槽。導電材料可為銅,例如電鍍銅。電鍍銅在沉積後可經退火處理。在沉積導電材料130前,亦可沉積阻障層和晶種層(未繪示)至結構100上。
如第1K圖所示,接著例如以化學機械研磨(CMP)平坦化導電材料。平坦化還會移除殘餘的氧化物層118與硬罩層116。
如第1L圖所示,接著將膜層132沉積於第二介電層112和溝槽層131內之導電材料130上,該膜層132可為碳化矽層或摻雜之碳化矽層。接著,如第1M圖所示,在第二介電層上之膜層132中形成穿孔134。可藉由圖案化及蝕刻膜層132形成穿孔134。
然後,如第1N圖所示,透過穿孔134移除部分或全部的第二介電層112,而在第二介電層112的位置中留下氣隙136。利用諸如灰化製程或遠端產生電漿製程等電漿製程可移除介電層112。例如,利用諸如氧灰化製程或氫灰化製程等乾蝕刻製程可移除非晶碳介電層。
灰化製程一例為藉由將非晶碳層送入含氫氣體之電漿中而移除低k介電材料的非晶碳材料。電漿處理一般包括以約100sccm至約1000sccm之流速(較佳為約500sccm至約1000sccm)供應包括氫氣、氨氣、水蒸汽(H2 O)或其混合物之含氫氣體至處理室內、以及在處理室中產生電漿。就200mm之基材而言,產生電漿的功率密度為約0.15W/cm2 至約5W/cm2 ,RF功率為約50W至約1500W。可以如約13MHz至約14MHz之高頻提供RF功率。可連續或短週期 式提供RF功率,其中功率在小於約200Hz之特定週期為開啟,且總開啟週期(on cycle)約為總工作週期(duty cycle)的10%~30%。
處理室的腔室壓力通常維持在約1托耳至約10托耳之間,較佳為約3托耳至約8托耳。電漿處理期間的基材溫度維持於約100℃至約300℃,較佳為約200℃至約300℃。電漿處理可進行約15秒至約120秒,或依需求調整來移除非晶碳材料。處理氣體可經由氣體分配器引進腔室;電漿處理期間,氣體分配器相距基材表面約100密爾至約2000密爾,較佳為約200密爾至約1000密爾。然應注意各種參數皆可修正以供不同腔室與基材尺寸(如300mm之基材)進行電漿製程。
亦可藉由濕蝕刻製程、熱退火製程、紫外線固化製程、電子束固化製程、或其組合物來移除有機層。濕蝕刻製程的例子包括使用蝕刻液,例如含有6份(part)水與1份氟化氫的DHF溶液。其他諸如緩衝氟化氫(BHF,NH4 F+HF+H2 O)等濕蝕刻化學品也可用來蝕刻非晶碳層。蝕刻方法的例子可參見美國專利證書號6,936,183、名稱為「用以蝕刻微結構的蝕刻製程(Etch Process for Etching Microstructures)」之申請案,其一併引用於此供作參考。
紫外線固化製程一例包含提供約2托耳至約12托耳之腔室壓力、提供約50℃至約600℃之腔室溫度、UV源波長為約200nm至約300nm、氦氣的供應流速為約100sccm至約20000sccm;視情況而定,UV製程可額外採用諸如氬 氣、氮氣與氧氣、或其混合氣體。UV功率可為約25%至約100%,處理時間可為約0~200分鐘。此製程可施行於美國加州聖克拉拉之應用材料公司製造的UV系統,例如NanoCure系統。其他UV系統也可實施,例如美國專利申請號11/124,908、西元2005年5月9日申請、名稱「固化介電材料之複式紫外線腔室(TANDEM UV CHAMBER FOR CURING DIELECTRIC MATERIALS)」、公開號為2006/0251827之申請案描述的系統,其一併引用於此且不與本說明書相悖。此製程可使用靜態或雙頻源。
移除介電層112後,如第1O圖所示,沉積CVD層138至膜層132上。CVD層可為PECVD層。CVD層做為後續沉積層的架橋或基層。
CVD層138亦填充膜層132中的穿孔134。CVD層可為含有矽、氧與碳的低介電常數層。低介電常數層可為實質上非多孔的膜層。有機矽化合物與氧化氣體反應可形成CVD層。有機矽化合物的例子包括八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)和三甲基矽烷(TMS)。氧化氣體的例子包括氧氣(O2 )、一氧化二氮(N2 O)、二氧化碳(CO2 )、或其混合物。
應理解根據本發明實施例所形成的含氣隙之鑲嵌結構可能需要額外的結構支撐,以彌補因氣隙而減弱機械強度的區域。故鑲嵌結構可在溝槽層中設置「仿製(dummy)」線或內連線,其並非做為完整裝置的功能性內連線,而是當作含氣隙之溝槽層的結構支撐。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧結構
102、120‧‧‧光阻材料
103、105‧‧‧圖案
104‧‧‧基材層
106‧‧‧特徵結構
108‧‧‧阻障層
110、112‧‧‧介電層
114‧‧‧蝕刻終止層
116‧‧‧硬罩層
118‧‧‧氧化物層
121‧‧‧區域
130‧‧‧導電材料
131‧‧‧溝槽層
132‧‧‧膜層
133‧‧‧介層洞層
134‧‧‧穿孔
136‧‧‧氣隙
138‧‧‧CVD層
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1A~1O圖為根據本發明一實施例之鑲嵌處理程序期間的結構截面圖。
第2圖為第1A圖結構之另一實施例的截面圖。
104‧‧‧基材層
106‧‧‧特徵結構
108‧‧‧阻障層
110、112‧‧‧介電層
131‧‧‧溝槽層
132‧‧‧膜層
133‧‧‧介層洞層
134‧‧‧穿孔
136‧‧‧氣隙
138‧‧‧CVD層

Claims (25)

  1. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與一供應成孔劑之前驅物反應;沉積一含成孔劑之材料;以及移除至少一部分的該含成孔劑之材料;藉由使該供應成孔劑之前驅物反應而沉積一有機層於該多孔性低介電常數層上;在該有機層和該多孔性低介電常數層中形成一特徵界定結構(feature definition);將一導電材料填入該特徵界定結構中;沉積一遮罩層於該有機層和該特徵界定結構內之導電材料上;在該遮罩層中形成數個穿孔以暴露該有機層;透過該些穿孔移除一部分或全部的該有機層;以及在該導電材料旁形成一氣隙。
  2. 如申請專利範圍第1項所述之方法,其中該有機層包含一非晶碳層。
  3. 如申請專利範圍第1項所述之方法,其中該供應成孔劑之前驅物為α-萜品烯。
  4. 如申請專利範圍第1項所述之方法,更包含在透過該些穿孔移除該部分或全部的有機層之後,沉積一非多孔性低介電常數材料於該遮罩層上。
  5. 如申請專利範圍第1項所述之方法,更包含形成一蝕刻終止層於該多孔性低介電常數層與該有機層之間。
  6. 如申請專利範圍第5項所述之方法,其中該蝕刻終止層為該多孔性低介電常數層之一經氧化的上表面。
  7. 如申請專利範圍第1項所述之方法,其中該遮罩層包含一碳化矽材料或一摻雜之碳化矽材料。
  8. 如申請專利範圍第1項所述之方法,其中該多孔性低介電常數層為一碳氧化矽層。
  9. 如申請專利範圍第1項所述之方法,其中該沉積一有機層的步驟包含以一化學氣相沉積製程,使該供應成孔劑之前驅物反應而沉積一非晶碳層。
  10. 如申請專利範圍第1項所述之方法,更包含形成一阻障層於該低介電常數材料與一下方導電特徵結構之間。
  11. 如申請專利範圍第10項所述之方法,更包含在轉移該 第二圖案穿過該有機層之後,移除該導電特徵結構上之阻障層。
  12. 如申請專利範圍第1項所述之方法,其中該多孔性低介電常數層和該有機層係於相同處理室或相同處理工具中原位沉積而得。
  13. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與α-萜品烯反應;沉積一含α-萜品烯之材料;以及移除該含α-萜品烯之材料中至少一部分的α-萜品烯;藉由使該α-萜品烯反應而沉積一非晶碳層於該多孔性低介電常數層上;在該非晶碳層和該多孔性低介電常數層中形成一特徵界定結構;將一導電材料填入該特徵界定結構中;沉積一碳化矽系材料於該非晶碳層和該特徵界定結構中之導電材料上;在該碳化矽系材料中形成數個穿孔以暴露該非晶碳層;透過該些穿孔移除一部分或全部的非晶碳層;以及在該導電材料旁形成一氣隙。
  14. 如申請專利範圍第13項所述之方法,更包含在移除一部分或全部的該非晶碳層之後,沉積一低介電常數層於該碳化矽系材料上。
  15. 如申請專利範圍第13項所述之方法,其中該多孔性低介電常數層和該非晶碳層係於相同處理室或相同處理工具中原位沉積而得。
  16. 如申請專利範圍第13項所述之方法,更包含形成一蝕刻終止層於該多孔性低介電常數層與該有機層之間。
  17. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與α-萜品烯反應;沉積一含α-萜品烯之材料;以及移除該含α-萜品烯之材料中至少一部分的α-萜品烯;藉由使該α-萜品烯反應而原位沉積一非晶碳層於該多孔性低介電常數層上;在該非晶碳層和該多孔性低介電常數層中形成一特徵界定結構;將一導電材料填入該特徵界定結構中;沉積一碳化矽系材料於該非晶碳層和該特徵界定結構中之導電材料上; 在該碳化矽系材料中形成數個穿孔以暴露該非晶碳層;利用一電漿蝕刻製程、一濕蝕刻製程、一退火製程、一電子束固化製程、一紫外線固化製程、或上述之組合,透過該些穿孔來移除一部分或全部的非晶碳層;在該導電材料旁形成一氣隙;以及沉積一非多孔性低介電常數層於該碳化矽系材料上。
  18. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與一供應成孔劑之前驅物反應;沉積一含成孔劑之材料;移除至少一部分的該含成孔劑之材料;藉由使該供應成孔劑之前驅物反應而沉積一有機層於該多孔性低介電常數層上;在該有機層和該多孔性低介電常數層中形成一特徵界定結構;將一導電材料填入該特徵界定結構中;沉積一遮罩層於該有機層和該特徵界定結構內之導電材料上;在該遮罩層中形成數個穿孔以暴露該有機層;透過該些穿孔移除一部分或全部的該有機層;以及在該導電材料旁形成一氣隙,其中移除一部分或全部的該有機層之步驟包括藉由一電漿蝕刻製程、一熱退火製 程、一紫外線固化製程、一電子束固化製程、一濕蝕刻製程、或上述之組合來移除該有機層材料。
  19. 如申請專利範圍第18項所述之方法,更包含在透過該些穿孔移除該部分或全部的有機層之後,沉積一非多孔性低介電常數材料於該遮罩材料上。
  20. 如申請專利範圍第18項所述之方法,更包含形成一蝕刻終止層於該多孔性低介電常數層與該有機層之間。
  21. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與一供應成孔劑之前驅物反應;沉積一含成孔劑之材料;移除至少一部分的該含成孔劑之材料;藉由使該供應成孔劑之前驅物反應而沉積一有機層於該多孔性低介電常數層上;在該有機層和該多孔性低介電常數層中形成一特徵界定結構;將一導電材料填入該特徵界定結構中;沉積一遮罩層於該有機層和該特徵界定結構內之導電材料上;在該遮罩層中形成數個穿孔以暴露該有機層; 透過該些穿孔移除一部分或全部的該有機層;以及在該導電材料旁形成一氣隙,其中該形成特徵界定結構之步驟包括:沉積一硬罩層於該有機層上;在該硬罩層中形成一第一圖案;轉移該硬罩層中之圖案穿過該有機層;轉移該硬罩層中之圖案穿過該多孔性低介電常數層,以在該多孔性低介電常數層中形成一介層洞;在該硬罩層中形成一第二圖案;及轉移該第二圖案穿過該第二介電層,以在該有機層中形成一溝槽。
  22. 如申請專利範圍第21項所述之方法,更包含形成一阻障層於該低介電常數材料與一下方導電特徵結構之間。
  23. 如申請專利範圍第22項所述之方法,更包含在轉移該第二圖案穿過該有機層之後,移除該導電特徵結構上之阻障層。
  24. 一種形成一鑲嵌結構的方法,該方法至少包含:以一包含以下步驟的方法沉積一多孔性低介電常數層:使一有機矽化合物與α-萜品烯反應;沉積一含α-萜品烯之材料;以及移除該含α-萜品烯之材料中至少一部分的α-萜品 烯;藉由使該α-萜品烯反應而沉積一非晶碳層於該多孔性低介電常數層上;在該非晶碳層和該多孔性低介電常數層中形成一特徵界定結構;將一導電材料填入該特徵界定結構中;沉積一碳化矽系材料於該非晶碳層和該特徵界定結構中之導電材料上;在該碳化矽系材料中形成數個穿孔以暴露該非晶碳層;透過該些穿孔移除一部分或全部的該非晶碳層;以及在該導電材料旁形成一氣隙,其中透過該些穿孔移除一部分或全部的該非晶碳層之步驟包括藉由一電漿蝕刻製程、一濕蝕刻製程、一退火製程、一電子束固化製程、一紫外線固化製程、或上述之組合來移除該非晶碳材料。
  25. 如申請專利範圍第24項所述之方法,更包含在移除該部分或全部的非晶碳層之後,沉積一低介電常數材料於該碳化矽系材料上。
TW097102891A 2007-01-29 2008-01-25 整合氣隙之方法 TWI425593B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88707907P 2007-01-29 2007-01-29
US12/017,930 US7670924B2 (en) 2007-01-29 2008-01-22 Air gap integration scheme

Publications (2)

Publication Number Publication Date
TW200845293A TW200845293A (en) 2008-11-16
TWI425593B true TWI425593B (zh) 2014-02-01

Family

ID=39668475

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097102891A TWI425593B (zh) 2007-01-29 2008-01-25 整合氣隙之方法

Country Status (5)

Country Link
US (2) US7670924B2 (zh)
KR (1) KR20090108721A (zh)
CN (1) CN101595559B (zh)
TW (1) TWI425593B (zh)
WO (1) WO2008094792A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
JP2009194072A (ja) * 2008-02-13 2009-08-27 Toshiba Corp 半導体装置の製造方法
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US8450789B2 (en) 2010-08-24 2013-05-28 Micron Technology, Inc. Memory array with an air gap between memory cells and the formation thereof
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2014505356A (ja) * 2010-12-20 2014-02-27 アプライド マテリアルズ インコーポレイテッド 耐集積損傷性を改善するインシトゥ低誘電率キャッピング
US8951911B2 (en) 2011-03-31 2015-02-10 Applied Materials, Inc. Process for damascene structure with reduced low-k damage
US8569130B2 (en) 2011-07-28 2013-10-29 Micron Technology, Inc. Forming air gaps in memory arrays and memory arrays with air gaps thus formed
US9054110B2 (en) 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
CN103021837B (zh) * 2011-09-27 2015-11-25 中芯国际集成电路制造(上海)有限公司 无定形碳层的处理与形成方法、半导体器件的制作方法
CN103035513B (zh) * 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
US8716139B2 (en) * 2012-03-01 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a semiconductor device
CN103367234B (zh) * 2012-03-29 2015-01-21 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
KR101985937B1 (ko) * 2012-07-11 2019-06-05 삼성전자주식회사 반도체 장치 및 그 제조방법
KR102167603B1 (ko) 2014-01-06 2020-10-19 삼성전자주식회사 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US20160336190A1 (en) * 2014-01-15 2016-11-17 Tokyo Electron Limited Film forming method and heat treatment apparatus
KR102168172B1 (ko) 2014-05-23 2020-10-20 삼성전자주식회사 반도체 소자의 제조 방법
KR102437416B1 (ko) 2015-08-28 2022-08-30 삼성전자주식회사 3차원 반도체 메모리 장치
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9716158B1 (en) 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
US10998259B2 (en) 2017-08-31 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306282A (en) * 2002-04-10 2003-11-16 Honeywell Int Inc New porogens for porous silica dielectric for integral circuit applications
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
TW200534374A (en) * 2004-03-23 2005-10-16 Applied Materials Inc Low dielectric constant porous films
TW200620467A (en) * 2004-11-12 2006-06-16 Axcelis Tech Inc Ultraviolet assisted pore sealing of porous low k dielectric films
TW200633060A (en) * 2005-02-16 2006-09-16 Ibm Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
AU736875B2 (en) 1997-01-21 2001-08-02 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6071805A (en) 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6984577B1 (en) 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6936183B2 (en) 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
AU2003233470A1 (en) 2002-04-02 2003-10-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7115517B2 (en) 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7094689B2 (en) 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
WO2008091900A1 (en) 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306282A (en) * 2002-04-10 2003-11-16 Honeywell Int Inc New porogens for porous silica dielectric for integral circuit applications
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
TW200534374A (en) * 2004-03-23 2005-10-16 Applied Materials Inc Low dielectric constant porous films
TW200620467A (en) * 2004-11-12 2006-06-16 Axcelis Tech Inc Ultraviolet assisted pore sealing of porous low k dielectric films
TW200633060A (en) * 2005-02-16 2006-09-16 Ibm Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films

Also Published As

Publication number Publication date
CN101595559A (zh) 2009-12-02
US7670924B2 (en) 2010-03-02
WO2008094792A1 (en) 2008-08-07
US20100151671A1 (en) 2010-06-17
KR20090108721A (ko) 2009-10-16
US8389376B2 (en) 2013-03-05
US20080182404A1 (en) 2008-07-31
TW200845293A (en) 2008-11-16
CN101595559B (zh) 2012-01-04

Similar Documents

Publication Publication Date Title
TWI425593B (zh) 整合氣隙之方法
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US8361906B2 (en) Ultra high selectivity ashable hard mask film
US7407893B2 (en) Liquid precursors for the CVD deposition of amorphous carbon films
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
US20110291243A1 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
JP2005210130A (ja) 半導体材料処理室における装置表面のクリーニング方法
JP4788415B2 (ja) 半導体装置の製造方法
TWI720506B (zh) 用於沉積含矽膜的組合物及方法