JP2013526783A - 超高選択性アッシング除去可能ハードマスク膜 - Google Patents

超高選択性アッシング除去可能ハードマスク膜 Download PDF

Info

Publication number
JP2013526783A
JP2013526783A JP2013511176A JP2013511176A JP2013526783A JP 2013526783 A JP2013526783 A JP 2013526783A JP 2013511176 A JP2013511176 A JP 2013511176A JP 2013511176 A JP2013511176 A JP 2013511176A JP 2013526783 A JP2013526783 A JP 2013526783A
Authority
JP
Japan
Prior art keywords
amorphous carbon
substrate
gas
carbon layer
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013511176A
Other languages
English (en)
Inventor
グァンドク ダグラス リー,
マーティン ジェイ シーモンズ,
スダ ラティ,
チウ チャン,
マイケル エイチ. リン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013526783A publication Critical patent/JP2013526783A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板処理チャンバ中で基板上にアモルファスカーボン層を形成する方法は、炭化水素源を処理チャンバ中へ導入するステップと、アルゴンを、単独で、またはヘリウム、水素、窒素およびこれらの組合せと組み合わせて、処理チャンバ中へ導入するステップと、アルゴンの体積流量の炭化水素源体積流量に対する比は約10:1から約20:1までであり、約2トルから10トルまでの実質的により低い圧力の処理チャンバ中でプラズマを発生させるステップと、共形アモルファスカーボン層を基板上に形成するステップと、を含む。
【選択図】図3

Description

本発明の実施形態は、一般に、集積回路の製造に関し、特に、高膜密度および高エッチング選択性を有するアモルファスカーボン層の堆積に関する。
集積回路は、単一チップ上に数百万個のトランジスタ、コンデンサおよび抵抗器を含むことができる複雑なデバイスに進化した。チップ設計の進化は、絶えず、より高速の回路およびより高い回路密度を要求している。より高い回路密度を持つより高速の回路を求める要求は、そのような集積回路を製造するために使用される材料に対してそれに対応する要求を課している。具体的には、集積回路部品の寸法はサブミクロン寸法に小さくされるので、デバイスの電気的性能を改善するために銅のような低抵抗導電性材料だけでなく、しばしば低誘電率材料と呼ばれる低誘電率絶縁材料も使用することが必要になっている。低誘電率材料は、一般に、4.0未満の誘電率を有する。
表面欠陥または特徴変形のほとんどまたは全くない低誘電率材料を有するデバイスを作ることは問題のあることである。低誘電率材料は、しばしば多孔性であり、また、その後のプロセスステップ中に引っ掻きまたは損傷を受けやすく、それ故に、欠陥が基板表面に形成される可能性が大きくなる。低誘電率材料は、脆いことが多く、化学機械研磨(CMP)などの従来の研磨プロセス中に変形することがある。低誘電率材料の表面欠陥および変形を制限するまたは低減させる1つの解決策は、パターニングまたはエッチングの前に、露出した低誘電率材料の上にハードマスク(例えば、TiNハードマスク)を堆積させることである。ハードマスクは、傷つきやすい低誘電率材料の損傷および変形を防ぐ。その上、ハードマスク層は、従来のリソグラフィ技術に関連してエッチングマスクとして作用して、エッチング中の低誘電率材料の除去を防ぐことがある。
典型的に、ハードマスクは、中間酸化物層であり、例えば、二酸化ケイ素または窒化ケイ素である。しかし、いくつかのデバイス構造は、例えばダマシン構造は、既に、二酸化ケイ素層および/または窒化ケイ素層を含んでいる。したがって、そのようなデバイス構造は、二酸化ケイ素または窒化ケイ素ハードマスクをエッチングマスクとして使用してパターニングすることができない。というのは、ハードマスクとその下の材料の間にエッチング選択性がほとんどまたは全くないからである。すなわち、ハードマスクの除去は、下の層に許されない損傷をもたらすことになる。二酸化ケイ素または窒化ケイ素などの酸化物層に対してエッチングマスクとして作用するために、材料は、それらの酸化物層に対して優れたエッチング選択性を持っていなければならない。
アモルファスカーボンとも呼ばれa−C:Hと表示されるアモルファス水素化炭素は、酸化物、窒化物、ポリSi、または金属(例えば、Al)材料に対してハードマスクとして働く有効な材料であることが証明されている。アモルファス水素化炭素は、基本的には、例えば約10から45原子%のオーダの実質的な水素含有量を含むことがある、長距離結晶性秩序のない炭素材料である。a−C:Hは、化学的不活性、光透過性、および優れた機械特性のために、半導体用途でハードマスク材料として使用される。a−C:H膜は、様々な技術によって堆積させることができるが、プラズマ化学気相堆積(PECVD)が、費用効率および膜質調整可能性のために広く使用されている。
所望のアモルファスカーボン膜がドライエッチング中に下の材料層を十分に保護することを保証するために、重要なことは、アモルファスカーボン膜が、それの下の材料層に対して比較的高いエッチング選択性、すなわち除去速度比を備えていることである。一般に、ドライエッチングプロセス中に10:1などの少なくとも約3:1以上のエッチング選択性が、アモルファスカーボン膜と材料層の間に望ましい。すなわち、材料層は、アモルファスカーボン膜よりも10倍速くエッチングされる。このようにして、アモルファスカーボンで形成されたハードマスク膜は、ドライエッチングプロセスによって開口が形成される間に、エッチングまたは損傷されるべきでない下の材料層の領域を保護する。
エッチング選択性は、膜密度が高くなるにつれて大きくなり得ることが知られている。しかし、アモルファスカーボンハードマスクでは、高膜密度とハードマスクアッシング除去可能性の間に妥協がある。したがって、ハードマスクに一定水準のアッシング除去可能性を維持しながらより高い膜密度(したがってエッチング選択性)を持っているアモルファスカーボンハードマスクが必要とされる。
本発明の実施形態は、基板処理チャンバ中で基板上にアモルファスカーボン層を堆積させる方法を提供する。一実施形態では、本方法は、炭化水素源を処理チャンバ中へ導入するステップと、ヘリウム、水素、アルゴン、窒素およびこれらの組合せから成るグループから選ばれたプラズマ開始ガスを処理チャンバ中へ導入するステップと、アルゴンから成る希釈剤ガスを処理チャンバ中へ導入するステップと、アルゴンの体積流量の炭化水素源体積流量に対する比は最高約20:1以上であり、約1トルから10トルまでの実質的により低い圧力の処理チャンバ中でプラズマを発生させるステップと、共形アモルファスカーボン層を基板上に形成するステップと、を含む。一態様では、水素から成る追加の希釈剤ガスが処理チャンバ中へ導入されて、アモルファスカーボン層の膜密度を1.9g/ccまで高める。
本発明の実施形態は、また、処理チャンバ中で半導体デバイスを形成する方法を提供する。一実施形態では、本方法は、混合ガスを処理チャンバ中へ導入することによってアモルファスカーボン層を基板上に形成するステップと、混合ガスは、処理チャンバの中への炭化水素源、プラズマ開始ガス、およびアルゴンと水素から成る希釈剤ガスを含み、アルゴンと水素の体積流量の炭化水素源体積流量に対する比は約20:1.4:1であり、処理チャンバ中でプラズマを発生させて、混合ガス中の炭化水素源を分解してアモルファスカーボン層を基板上に形成するステップと、アモルファスカーボン層の少なくとも1つの領域にパターンを画定するステップと、アモルファスカーボン層をマスクとして使用してアモルファスカーボン層の少なくとも1つの領域に画定されたパターンを基板に移すステップと、を含む。
本発明の先に列挙された特徴を詳細に理解することができるやり方、すなわち先に簡単に要約された本発明のより詳細な説明が、実施形態を参照して行われるように、その実施形態のいくつかが添付の図面に図示されている。しかし、留意すべきことであるが、添付の図面は、この発明の典型的な実施形態だけを図示し、したがって本発明の範囲を限定するものと考えられるべきでない。これは、本発明は他の同様に有効な実施形態を認めるからである。
本発明の実施形態に従ってアモルファスカーボン層堆積を行うために使用することができる基板処理システムを模式的に表現したものである。 アモルファスカーボン層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階の基板を示す模式的な断面図である(従来技術)。 アモルファスカーボン層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階の基板を示す模式的な断面図である(従来技術)。 アモルファスカーボン層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階の基板を示す模式的な断面図である(従来技術)。 アモルファスカーボン層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階の基板を示す模式的な断面図である(従来技術)。 アモルファスカーボン層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階の基板を示す模式的な断面図である(従来技術)。 a−C:H膜密度に及ぼす追加の水素希釈剤ガスの効果を証明するグラフである。
本発明の実施形態は、一般に、サブ45nmデバイスに関して優れた線完全性を依然として維持しながら、高膜密度、高エッチング選択性、および一定水準のアッシング除去可能性を持つハードマスクを形成する方法を提供する。高エッチング選択性はより薄いハードマスクを可能にし、このより薄いハードマスクよって、エッチングマージンが改善され、線揺れおよび線曲がりに関連した従来の問題のないより小さな特徴を制御可能にエッチングすることが可能になる。
例示のハードウェア
図1は、本発明の実施形態に従って特徴および/またはアモルファスカーボン層堆積のために使用することができる基板処理システム、システム1000を模式的に表現したものである。適当なシステムの例には、DxZ(商標)処理チャンバを使用することがあるCENTURA(登録商標)システム、PRECISION 5000(登録商標)システム、PRODUCER SE(商標)処理チャンバおよびPRODUCER GT(商標)処理チャンバなどPRODUCER(商標)システムがあり、これら全ては、カリフォルニア州サンタクララのApplied Materials社から市販されている。
システム1000は、プロセスチャンバ1025、ガスパネル1030、制御ユニット1010、および電力供給および真空ポンプのような他のハードウェア部品を含む。本発明で使用されるシステムの一実施形態の詳細は、2002年4月2日に発行された米国特許第6,364,954号という同一出願人による米国特許「High Temperature Chemical Vapor Deposition Chamber」に述べられており、この特許は、これによって参照して本明細書に組み込まれる。
プロセスチャンバ1025は、一般に、支持ペデスタル1050を備え、これは、半導体基板1090のような基板を支持するために使用される。このペデスタル1050は、シャフト1060に結合された移動機構(図示せず)を使用してプロセスチャンバ1025の中で垂直方向に動く。プロセスに依存して、基板1090は、処理の前に所望の温度に加熱することができる。基板支持ペデスタル1050は、埋込みヒータ素子1070によって加熱される。例えば、ペデスタル1050は、AC電力供給1006からヒータ素子1070に電流を加えることによって抵抗加熱されることがある。今度は、基板1090がペデスタル1050によって加熱される。また、熱電対などの温度センサ1072が、基板支持ペデスタル1050中に埋め込まれていて、ペデスタル1050の温度を監視する。測定された温度は、フィードバックループで使用されて、ヒータ素子1070用のAC電力供給1006を制御する。基板温度は、特定のプロセス用途のために選ばれた温度に維持または制御することができる。
真空ポンプ1002は、プロセスチャンバ1025を排気し、かつプロセスチャンバ1025の内部に適正なガス流および圧力を維持するために使用される。プロセスガスがプロセスチャンバ1025中へ導入されるシャワーヘッド1020は、基板支持ペデスタル1050の上に位置付けされ、またプロセスチャンバ1025に中へ一様な分布のプロセスガスを供給するように構成されている。シャワーヘッド1020は、ガスパネル1030に接続され、ガスパネル1030は、プロセスシーケンスの異なるステップで使用される様々なプロセスガスを制御し供給する。プロセスガスは、炭化水素源およびプラズマ開始ガスを含むことがあり、例示のアルゴン希釈堆積プロセスの説明に関連して以下でより詳細に説明される。
ガスパネル1030は、また、様々な気化された液体前駆体を制御し供給するために使用されることがある。示されていないが、液体前駆体供給からの液体前駆体が、例えば液体注入気化器によって気化され、キャリアガスの存在下でプロセスチャンバ1025に送り出されることがある。キャリアガスは、典型的に、窒素などの不活性ガス、またはアルゴンまたはヘリウムなどの希ガスである。代わりに、液体前駆体は、熱または真空気化プロセスによってアンプルから気化されることがある。
シャワーヘッド1020および基板支持ペデスタル1050は、また、一対の間隔を空けた電極を形成することがある。これらの電極の間に電界が発生されたとき、チャンバ1025に導入されたプロセスガスは点火されてプラズマ1092になる。典型的には、基板支持ペデスタル1050を、整合回路網(図示せず)を通して単一周波数または二周波数高周波(RF)電力源(図示せず)に接続することによって、電界が発生される。代わりに、RF電力源および整合回路網が、シャワーヘッド1020に結合されることがあり、またはシャワーヘッド1020と基板支持ペデスタル1050の両方に結合されることがある。
PECVD技術は、基板表面の近くの反応ゾーンに電界を加えることによって反応ガスの励起および/または解離を促進して、反応種のプラズマを生成する。プラズマ中の反応種の反応性が、化学反応が起こるのに必要なエネルギーを低減させて、そのようなPECVDプロセスに要求される温度を実質的に下げる。
ガスパネル1030を通過するガス流および液体流の適正な制御および調節は、質量流量制御装置(図示せず)と、コンピュータのような制御ユニット1010とによって行われる。シャワーヘッド1020は、ガスパネル1030からのプロセスガスがプロセスチャンバ1025の中に一様に分散され導入されるようにする。例示では、制御ユニット1010は、中央処理ユニット(CPU)1012、支援回路1014、および関連した制御ソフトウェアを含むメモリ1016を備える。この制御ユニット1010は、基板搬送、ガス流制御、液体流制御、温度制御、チャンバ排気などの基板処理に必要な数多くのステップの自動制御に対して責任を負っている。プロセス混合ガスがシャワーヘッドを出るとき、加熱された基板1090の表面1091で炭化水素化合物のプラズマ熱分解が起きて、基板1090上へのアモルファスカーボン層の堆積をもたらす。
a−C層をハードマスクとして含む例示の製造シーケンス
図2A〜2Eは、a−C:H層をハードマスクとして含む集積回路製造シーケンスの異なる段階での基板100の模式的な断面図を図示する。基板構造150は、基板100上に形成された他の材料層と共に基板100を表している。図2Aは、従来方法で基板上に形成された材料層102を有する基板構造150の断面図を図示する。材料層102は、低誘電率材料および/または酸化物、例えばSiOであることがある。
図2Bは、図2Aの基板構造150上に堆積されたアモルファスカーボン層104を描写している。アモルファスカーボン層104は、PECVDなどの従来の手段によって基板構造150上に形成される。アモルファスカーボン層104の厚さは、処理の特定の段階に依存して変えられる。典型的に、アモルファスカーボン層104は、約500Åから約10000Åまでの範囲の厚さである。製造シーケンスで使用されるエネルギー敏感レジスト材料108のエッチング化学に依存して、随意のキャッピング層(図示せず)が、エネルギー敏感レジスト材料108の形成より前にアモルファスカーボン層104上に形成されることがある。随意のキャッピング層は、パターンがアモルファスカーボン層104に移されるとき、アモルファスカーボン層104のマスクとして機能して、エネルギー敏感レジスト材料108からアモルファスカーボン層104を保護する。
図2Bに描写されるように、エネルギー敏感レジスト材料108が、アモルファスカーボン層104上に形成される。エネルギー敏感レジスト材料108の層は、約2000Åから約6000Åまでの範囲内の厚さに基板上にスピンコーティングすることができる。大抵のエネルギー敏感レジスト材料は、約450nmよりも短い波長、またいくつかの用途では245nmまたは193nmの波長の紫外線(UV)放射に敏感である。
マスク110などのパターニングデバイスを通してエネルギー敏感レジスト材料108をUV放射130にさらし、その後で適切な現像液でエネルギー敏感レジスト材料108を現像することによって、エネルギー敏感レジスト材料108の層にパターンが導入される。エネルギー敏感レジスト材料108が現像された後で、図2Cに示されるように、開口140から成る所望のパターンが、エネルギー敏感レジスト材料108に存在している。
その後で、図2Dを参照して、エネルギー敏感レジスト材料108で画定されたパターンは、エネルギー敏感レジスト材料108をマスクとして使用してアモルファスカーボン層104を通して移される。エネルギー敏感レジスト材料108および材料層102に優先してアモルファスカーボン層104を選択的にエッチングする適切な化学エッチング剤が使用されて、開口140を材料層102の表面まで延ばす。適切な化学エッチング剤には、オゾンプラズマ、酸素プラズマまたはアンモニアプラズマがある。
図2Eを参照して、次に、パターンは、アモルファスカーボン層104をハードマスクとして使用して材料層102を通して移される。このプロセスステップでは、アモルファスカーボン層104に優先して材料層102を選択的に除去するエッチング剤、例えばドライエッチング、すなわち非反応性プラズマエッチングなどが使用される。材料層102がパターニングされた後で、アモルファスカーボン層104は、随意に基板100から剥離することができる。製造シーケンスの特定の例では、a−C:Hハードマスクで画定されたパターンは、集積回路上に金属相互接続を形成するために典型的に使用されるダマシン構造などの集積回路の構造に組み込まれる。
堆積プロセス
既に述べたように、アモルファス水素化炭素は、高い化学的不活性、光透過性および除去しやすさのために、半導体用途でエッチングハードマスクとして使用されることがある材料である。知られていることであるが、a−C:H膜の望ましいエッチング選択性は、膜密度を高めることによって達成されることがある。以下で説明される本発明の態様は、ハードマスクに関して有効なアッシング除去可能性を依然として維持しながら、高膜密度したがってより高いエッチング選択性を有するa−C:H膜を生成できることが、本発明者等によって証明された。高いエッチング選択性は、より薄い均一なハードマスクを可能にし、これによって、エッチマージンが改善され、さらにより小さな特徴の制御可能なエッチングが可能になり、それによって、線揺れおよび線曲がりに関連した従来の問題のないサブ45nm以下デバイス用の優れた線完全性が得られる。
本発明に従った堆積プロセスの一態様では、a−C:H膜は、図1に関連して先に説明されたプロセスチャンバ1025などの処理チャンバの中へ炭化水素源、プラズマ開始ガス、および希釈剤ガスを導入することによって形成される。次に、チャンバ中でプラズマが開始されて、励起CH−ラジカルを生成する。励起CH−ラジカルは、チャンバ中に置かれた基板の表面に化学的に結合されて、そこに所望のa−C:H膜を形成する。炭化水素源は、1つまたは複数の炭化水素化合物の混合物であることがある。炭化水素源は、気相炭化水素化合物および/または液相炭化水素化合物の蒸気、およびキャリアガスを含む混合ガスを含むことがある。一実施形態では、炭化水素化合物は、Cである。しかし、キャリアガス中に同伴された1つまたは複数の気化された液相炭化水素化合物などの他の炭化水素化合物が、所望の膜に依存して使用されることがある。プラズマ開始ガスは、ヘリウムであることがある。というのは、ヘリウムは容易にイオン化されるからである。しかし、アルゴンなどの他のガスも使用されることがある。希釈剤ガスは、アルゴン、クリプトン、キセノンのような容易にイオン化され比較的大質量でかつ化学的に不活性なガスであることがある。本発明の一実施形態では、後で議論されるように、追加の水素希釈が導入されて、膜密度をさらに高めることができる。
炭化水素化合物の部分的または完全にドープされた誘導体を使用して形成されたアモルファスカーボン膜は、また、本発明の方法の恩恵を受けることがある。誘導体は、炭化水素化合物の窒素−、フッ素−、酸素−、ヒドロキシ基−、およびホウ素−含有誘導体を含む。炭化水素化合物は、窒素を含むことがあり、またはアンモニアなどの窒素−含有ガスで堆積されることがあり、または炭化水素化合物は、フッ素および酸素のような置換基を有することがある。これらのプロセスのどれも、本発明の方法で堆積された非ドープa−C:H膜について証明された密度、堆積速度および共形性の改善の恩恵を受ける可能性がある。本発明の態様の恩恵を受けるプロセスで使用されることがある炭化水素化合物またはそれのドープされた誘導体についてのより詳細な説明は、2005年2月24日に出願された「Liquid Precursors for the CVD deposition of Amorphous Carbon Films」という名称の同一出願人による米国特許第7,407,893号および「Method for Depositing Conformal Amorphous Carbon Film by Plasma‐Enhanced Chemical Vapor Deposition(PECVD)」という名称の同一出願人による米国特許公開第2010/0093187号に見出すことができ、これらは、これによって、特許請求される発明と矛盾しない範囲でその全体が参照して組み込まれる。
一般に、炭化水素源に含まれることがある炭化水素化合物またはその誘導体は、式Cで記述されることがあり、ここでxは1と20の間の範囲を有し、yは1と20の間の範囲を有する。他の実施形態では、炭化水素源に含まれることがある炭化水素化合物またはそれの誘導体は、式Cで記述されることがあり、ここでxは1と24の間の範囲を有し、yは0と50の間の範囲を有し、zは0から50までの範囲を有し、xとy+zの比は1:2以上である。さらに他の実施形態では、炭化水素源は、酸素および/または窒素置換化合物に関し式Cで記述されることがあり、ここでaは1と24の間の範囲を有し、bは0と50の間の範囲を有し、cは0から10までの範囲を有し、dは0から50までの範囲を有し、eは0から10までの範囲を有し、さらにaとb+c+d+eの比は1:2以上である。
適当な炭化水素化合物は、次の化合物、例えば、メタン(CH)、エタン(C)、プロパン(C)、ブタン(C10)およびその異性体であるイソブタン、ペンタン(C12)およびその異性体であるイソペンタンならびにネオペンタン、ヘキサン(C14)およびその異性体である2−メチルペンタン、3−メチルペンタン、2,3−ジメチルブタン、ならびに2,2−ジメチルブタンなどのアルカン類の1つまたは複数を含む。追加の適当な炭化水素は、エチレン、プロピレン、ブチレンおよびその異性体、ペンテンおよびその異性体などのアルケン類、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなどのジエン類を含むことがあり、またハロゲン化アルケン類は、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含む。また、アセチレン(C)、プロピン(C)、ブチレン(C)、ビニルアセチレンおよびそれらの誘導体のようなアルキン類は、炭素前駆体として使用することができる。その上、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フランなどの芳香族炭化水素、アルファテルピネン、シメン、1,1,3,3,−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチル−メタクリレート、およびt−ブチルフルフリルエーテル、化学式CおよびCを有する化合物、およびモノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンなどを含むハロゲン化芳香族化合物が使用可能である。
炭化水素化合物の適当な誘導体の例は、限定されないが、フッ素化アルカン類、ハロゲン化アルカン類、およびハロゲン化芳香族化合物を含むことがある。フッ素化アルカン類は、限定されないが、モノフルオロメタン、ジフルオロメタン、トリフルオロメタン、テトラフルオロメタン、モノフルオロエタン、テトラフルオロエタン、ペンタフルオロエタン、ヘキサフルオロエタン、モノフルオロプロパン、トリフルオロプロパン、ペンタフルオロプロパン、ペルフルオロプロパン、モノフルオロブタン、トリフルオロブタン、テトラフルオロブタン、オクタフルオロブタン、ジフルオロブタン、モノフルオロペンタン、ペンタフルオロペンタン、テトラフルオロヘキサン、テトラフルオロヘプタン、ヘキサフルオロヘプタン、ジフルオロオクタン、ペンタフルオロオクタン、ジフルオロテトラフルオロオクタン、モノフルオロノナン、ヘキサフルオロノナン、ジフルオロデカン、ペンタフルオロデカンなどを含むことがある。ハロゲン化アルケン類は、限定されないが、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含むことがある。ハロゲン化芳香族化合物は、限定されないが、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンなどを含むことがある。本明細書を通して説明されるようなa−C:H膜は、カリフォルニア州サンタクララのApplied Materials社から市販されているAdvanced Patterning Film(商標)(APF)材料であることがある。
a−C:H膜は、結果として得られる膜の吸収係数を最小限にするために、約100℃から約650℃までの温度、例えば約200℃から約480℃までなどの約0℃と約800℃の間に基板温度を保つことによって、処理ガスから堆積されることがある。プロセスチャンバは、プロセスを実行しないとき、約1ミリトルから約5ミリトルの軽度の真空に保たれる。a−C:H膜は、チャンバ圧力を約1トルから約5トル以上に、例えば、約2トルから約10トル以上例えば約20トルなどに保つことによって、処理ガスから堆積されることがある。一実施形態では、チャンバ圧力は、約7トル以上に、例えば約8トルから約9トルまでに保たれる。
炭化水素源、プラズマ開始ガス、および希釈剤ガスがチャンバ中へ導入され、プラズマが開始されて堆積を始める。好ましくは、プラズマ開始ガスはヘリウムまたは他の容易にイオン化されるガスであり、炭化水素源および希釈剤ガスより前にチャンバ中へ導入され、これにより、安定なプラズマが形成されるようになり、またアーク放電の可能性が低減する。一実施形態では、炭化水素源は、より大きな表面移動度を可能にするより安定な中間種を形成することからアセチレン(C)であるが、先に説明されたように、キャリアガス中に同伴される1つまたは複数の気化された液相炭化水素化合物などの他の炭化水素化合物が、所望の膜に依存して使用されることがある。希釈剤ガスは、アルゴン、クリプトン、またはキセノンのように少なくとの大質量の任意の希ガスであることがあるが、アルゴンが、経済性の理由のために好ましい。アルゴンよりも小さな原子量を有するガスは、有益な堆積速度および膜密度を達成することができないので好ましくないと考えられることが多い。しかし、本発明者は、驚いたことに予想外に、追加の水素希釈が−1000メガパスカルの応力で膜密度を1.98g/ccまで高めることができることを発見したが、この密度は、現在利用可能な最善のアッシング除去可能な既存のハードマスクよりもほぼ50〜80%高い密度であり、このことは以下で詳細に議論される。
二周波数RFシステムが、プラズマを発生させるために使用されることがある。二周波数RF電力利用は、フラックスとイオンエネルギーを独立に制御することを可能にすると信じられている。というのは、膜表面に当たるイオンのエネルギーが膜密度に影響を与えると信じられているからである。高周波プラズマはプラズマ密度を制御し、また低周波プラズマは基板表面に当たるイオンの運動エネルギーを制御すると信じられる。混合RF電力の二周波数源は、約10MHzから約30MHzまでの範囲、例えば約13.56MHzの高周波電力、並びに約10KHzから約1MHzまでの範囲、例えば約350KHzの低周波電力を供給する。二周波数RFシステムがa−C:H膜を堆積させるために使用されるとき、全混合周波数電力に対する第2のRF電力の比は、約0.6から1.0よりも小さいことがある(0.6:1)。加えられるRF電力および1つまたは複数の周波数の使用は、使用される基板サイズおよび装置に基づいて変えられることがある。望ましければ、単一周波数RF電力利用が使用されることがあり、典型的には、本明細書で説明されるような高周波電力の利用である。
約0.01ワット/cmから約1ワット/cmなど、約0.01ワット/cmから約5ワット/cmまでの電力密度でRF電力を基板表面積に加えることによって、プラズマが発生されることがある。一実施形態では、a−C:H膜の堆積に使用される単一周波数RF電力は、約500ワットと約3000ワットの間、例えば1400ワットである。電極間隔、すなわち基板とシャワーヘッドの間の距離は、約200ミルから約1000ミルまで、例えば約280ミルから約300ミルまでの間隔であることがある。
アルゴンおよび水素希釈堆積法の利益を最大にするために、重要なことは、炭化水素化合物の量に比べて大量の希釈剤がPECVDチャンバに導入されることである。しかし、希釈剤が、高すぎる流量でチャンバ中へ導入されないことが、同様に重要である。希釈剤流量を増すにつれて、より高い密度のa−C:H層が形成されて、a−C:H膜のさらにより高いエッチング選択性を生じさせることがあるが、より高い密度はより高い膜応力にもつながる。a−C:H膜中の非常に高い膜応力は、基板表面へのa−C:H膜の不十分な接着および/またはa−C:H膜の亀裂のような深刻な問題を引き起こす。したがって、炭化水素化合物に対するあるモル比を超えてアルゴンおよび水素を追加することは、膜の特性に有害な影響を及ぼす。それで、プロセスウィンドウがあり、このプロセスウィンドウでは、PECVDチャンバの中への炭化水素化合物のモル流量に対する希釈ガスのモル流量の比が、堆積膜の所望特性に依存して約2:1と約40:1の間に保たれる。
300mm円形基板を処理する例示の堆積プロセスは、プラズマ開始ガスとしてヘリウム、炭化水素源としてCまたはC、および希釈剤ガスとしてアルゴンおよび水素を使用する。ヘリウムの例示の流量は約200sccmと約1000sccmの間であり、CまたはCの流量は約200sccmと約1000sccmの間であり、アルゴンの流量は約1000sccmと約20000sccmの間であり、さらに水素の流量は約500sccmと約5000sccmの間である。一例では、アルゴンの流量は約10000sccmと約14000sccmの間であり、水素の流量は約800sccmと約1000sccmの間である。単一周波数RF電力は約800ワットと約1600ワットの間である。このプロセスの集約的なパラメータ、すなわちチャンバ圧力、基板温度、その他は、先に説明された通りである。これらのプロセスパラメータは、約1.90g/ccの密度および633nm放射に対する約0.58の吸収係数と共に、約900Å/分から約1200Å/分までの範囲のa−C:H層の堆積速度を実現する。一実施形態では、炭化水素源とアルゴンのモル流量は、約1:10以上の比、例えば約1:20である。一実施形態では、アセチレン(C)、ヘリウムガス、アルゴンガス、および水素ガスのモル流量は、それぞれ1:0.5:20:1.4の比である。当業者は、本明細書の開示を読むと直ぐに、本明細書で議論されたものと異なる密度、吸収係数、または堆積速度のa−C:H膜を生じさせるために、適切なプロセスパラメータまたは比を計算することができると、予想される。
表1は、3つのそれぞれ300mm円形基板上に堆積された3つのa−C:H膜の比較を要約している。膜1〜3は、本発明の一態様を使用して、CまたはCを炭化水素源として用いて堆積された。膜4は、半導体産業で現在標準プロセスと考えられている従来のヘリウムベースの堆積プロセスを使用して、Cを炭化水素源として用いて堆積された。
Figure 2013526783
表1を参照して、膜1〜3は、膜4よりも実質的に低い圧力で、膜4の1/2の炭化水素化合物の流量で堆積された。具体的には、膜1〜3は、アルゴンおよび水素の大量の希釈剤ガスを使用して堆積された。表1は、膜1〜3の特性が膜4よりも優れていること、すなわち大きく改善された膜密度であることを図示している。低圧力と膜3で試験された比較的大流量のアルゴンおよび水素希釈剤の追加とを除けば同様な処理条件である膜3と4だけを比べても、膜3は、高い膜密度を与えることが分かる。先の表1は、本明細書で説明される発明方法を使用することを示し、アモルファスカーボン膜は、より高い膜密度で形成され、それ故に、優れたエッチング選択性を有することがある。
本発明の実施形態に従って、この方法の1つの重要な利点は、a−C:H膜の膜密度を、したがってa−C:H膜のドライエッチング選択性を高めることができることである。指摘されることであるが、本発明方法の態様は、また、PECVDチャンバ中でプラズマを開始するのに必要であるよりも、または液相前駆体化学薬品のキャリアガスとして作用するのに必要であるよりも実質的に高い流量のアルゴンを使用することを予想している。例えば、300mmPECVDチャンバ中へのアルゴンの典型的な流量は、液相前駆体のキャリアガスとして使用されるとき、約2000sccm以下である。そのようなチャンバ中へのヘリウムの流量は、一般にさらにいっそう少ない。対照的に、アモルファスカーボン膜の密度を高めるための希釈剤ガスとしてのアルゴンの望ましい流量は、遥かに高く、すなわち約7000sccmを超える。
アルゴンイオンは、膜成長中に基板の表面をボンバードするのに遥かに有効であることが分かっている。どんな特定の理論にも束縛されることを望まないが、堆積中のアルゴンイオンのもっと強力なボンバードは、もっと多くのダングリングボンドおよび化学的に活性な座を生成し、それらのダングリングボンドおよび化学的活性座にプラズマ中のCH−ラジカルがくっついてより密度の高い膜を形成すると信じられる。その上、容易にイオン化されるガス例えばアルゴンの大流量は、より高いプラズマ密度、したがって気相でのより多くの−CHラジカル生成を引き起こすことがある。アルゴン希釈に関連したより反応性の高いプラズマとより反応性の高い膜表面とが、組み合わさって、高堆積速度および高膜密度の有益な組合せとなる。ヘリウムイオンなどのより軽いイオンは、そのより小さな質量に関連した運動量不足のために同様な結果を生じさせることができない。しかし、意外であり予想外に発見されたことであるが、表1に関連して前に明らかにされたように、追加のH希釈剤が膜密度を高めることができる。図3は、a−C:H膜密度に及ぼす追加の水素希釈剤ガスの効果を証明するグラフである。堆積中の水素流量が、0sccmから3000sccmまで増加されており、その膜密度は、処理中に適用された増加する水素流量に実質的に比例して高くなることが示されている。このことは、水素希釈剤を追加することでアモルファスカーボン膜の密度をさらに高めることができることを示している。どんな特定の理論にも固執しないが、追加の水素希釈(すなわち、Hの増加した使用)は、a−C:H膜の堆積速度を減少させ、これによって、今度は、CVDプラズマによるイオンボンバードが成長する膜を突き固めるのにより効果的になり、それによってa−C:H膜に追加の高密度化をもたらすと信じられる。図3は、また、高すぎるH希釈(例えば、2000sccmより上)によって、代わりに膜密度が低くなることを示している。その上、水素濃度が高すぎるとき、堆積されたa−C:H膜の共形性が悪化する。
また確認されたことであるが、他の要素が、a−C:H膜の堆積される膜密度を有利に高めてドライエッチング選択性を高めることがある。この要素には、例えば、炭化水素源の流量の減少および処理圧力の低減がある。先に表1に示されたように、チャンバ圧力の低減および/または炭化水素源流量の低減が、また、a−C:H膜の堆積速度を減少させることがあり、それによって、CVDプラズマによるイオンボンバードが成長する膜を突き固めるのにより効果的になり、このことが、今度は、a−C:H膜の膜密度を高め、したがってエッチング選択性を高めることが分かっている。プラズマ中のイオンエネルギーはシース電圧に正比例し、また圧力を減少させるにつれて基板にかかるシース電圧は大きくなり、したがって圧力を減少させるにつれて膜密度が高くなると期待されるので、チャンバ圧力は膜密度に実質的な影響を及ぼす。しかし、より高いエネルギーイオンがより低い圧力のプラズマ中に見られるために、プロセス圧力を高くするにつれて膜密度は減少する。
先に説明された本発明の方法は、a−C:H膜の高密度膜と比較的高い堆積速度の両方を可能にする。アルゴンおよび水素が希釈剤ガスとして大量に使用されるとき、標準的なヘリウムベースのPECVDプロセスに比べて、a−C:H膜の膜密度は、1.40g/ccから約1.90g/cc以上に大きく高められる。a−C:H膜の高められた密度は、ハードマスク膜のより高いエッチング選択性をもたらし、それによって、従来のアモルファスカーボンハードマスクを使用するサブ45nmデバイスに通常見られるかもしれないような線揺れおよび曲がりの問題もなく、良好な線エッジ粗さ、線幅粗さ、およびスペース幅粗さを実現する。
上記は本発明の実施形態に向けられているが、本発明の他のおよびさらに進んだ実施形態が、本発明の基本的な範囲から逸脱することなしに考案される可能性があり、本発明の範囲は、後に続く特許請求の範囲によって決定される。

Claims (15)

  1. 基板処理チャンバ中で基板上にアモルファスカーボン層を形成する方法であって、
    炭化水素源を前記処理チャンバ中へ導入するステップと、
    アルゴンを、単独で、またはヘリウム、水素、窒素およびこれらの組合せと組み合わせて、前記処理チャンバ中へ導入するステップであって、前記アルゴンの体積流量の炭化水素源体積流量に対する比は約10:1から約20:1までであるステップと、
    約1トルから10トルまでの実質的により低い圧力の前記処理チャンバ中でプラズマを発生させるステップと、
    共形アモルファスカーボン層を前記基板上に形成するステップと
    を含む方法。
  2. 前記ガス組合せが、アルゴンと水素を含み、水素の体積流量の炭化水素源体積流量に対する比が、約1:1から約8:1までである、請求項1に記載の方法。
  3. 前記水素ガスの体積流量の炭化水素源体積流量に対する比が、約1.4:1から約6:1までである、請求項2に記載の方法。
  4. 前記アモルファスカーボン層が、約1.9g/ccと約2.5g/ccの間の膜密度を有する、請求項2に記載の方法。
  5. 前記ガスが、基板表面から約200ミルと約1000ミルの間に置かれたガスディストリビュータによって、前記処理チャンバ中へ導入される、請求項1に記載の方法。
  6. 前記炭化水素源が、一般式Cを有する1つまたは複数の炭化水素化合物を含み、xが1と20の間の範囲を有し、yが1と20の間の範囲を有する、請求項1に記載の方法。
  7. 前記炭化水素源が、アセチレン(C)であり、前記ガス組合せが、ヘリウム、アルゴンおよび水素を含み、アセチレン:ヘリウム:アルゴン:水素のモル流量が、1:0.5:20:1.4の比である、請求項6に記載の方法。
  8. 前記基板が、前記アモルファスカーボン層の形成中に約100℃から約480℃までの温度に加熱される、請求項1に記載の方法。
  9. 処理チャンバ中で半導体デバイスを形成する方法であって、
    混合ガスを前記処理チャンバ中へ導入することによってアモルファスカーボン層を基板上に形成するステップであって、前記混合ガスは、前記処理チャンバの中への炭化水素源、プラズマ開始ガス、およびアルゴンと水素から成る希釈剤ガスを含み、前記アルゴンと水素の体積流量の炭化水素源体積流量に対する比は、約20:1.4:1であるステップと、
    前記処理チャンバ中でプラズマを発生させて、前記混合ガス中の前記炭化水素源を分解して前記アモルファスカーボン層を前記基板上に形成するステップと、
    前記アモルファスカーボン層の少なくとも1つの領域にパターンを画定するステップと、
    前記アモルファスカーボン層をマスクとして使用して、前記アモルファスカーボン層の前記少なくとも1つの領域に画定された前記パターンを前記基板に移すステップと
    を含む方法。
  10. 前記プラズマが、約1トルから約10トルまでの圧力の前記処理チャンバ中で発生される、請求項9に記載の方法。
  11. アルゴンの前記流量が、約1000sccmと約20000sccmの間であり、水素の前記流量が、約500sccmと約5000sccmの間である、請求項9に記載の方法。
  12. 前記アモルファスカーボン層が、約1.9g/ccと約2.5g/ccの間の膜密度を有する、請求項9に記載の方法。
  13. 前記炭化水素源、前記プラズマ開始ガス、および前記希釈剤ガスが、基板表面から約200ミルと約1000ミルの間に置かれたガスディストリビュータによって、前記処理チャンバ中へ導入される、請求項9に記載の方法。
  14. 前記炭化水素源が、メタン(CH)、エタン(C)、プロパン(C)、ブタン(C10)およびその異性体であるイソブタン、ペンタン(C12)およびその異性体であるイソペンタンならびにネオペンタン、ヘキサン(C14)およびその異性体である2−メチルペンタン、3−メチルペンタン、2,3−ジメチルブタン、ならびに2,2−ジメチルブタンなどのアルカン類、エチレン、プロピレン、ブチレンおよびその異性体、ペンテンおよびその異性体など、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなど、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含むハロゲン化アルケン類、アセチレン(C)、プロピン(C)、ブチレン(C)、ビニルアセチレンおよびその誘導体、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フランなど、アルファテルピネン、シメン、1,1,3,3,−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチル−メタクリレート、およびt−ブチルフルフリルエーテル、CおよびC、およびモノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンを含むハロゲン化芳香族化合物から成るグループから選ばれる、請求項9に記載の方法。
  15. 前記基板が、前記アモルファスカーボン層の形成中に約100℃から約480℃までの温度に加熱される、請求項9に記載の方法。
JP2013511176A 2010-05-20 2011-04-27 超高選択性アッシング除去可能ハードマスク膜 Withdrawn JP2013526783A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/784,341 2010-05-20
US12/784,341 US8361906B2 (en) 2010-05-20 2010-05-20 Ultra high selectivity ashable hard mask film
PCT/US2011/034185 WO2011146212A2 (en) 2010-05-20 2011-04-27 Ultra high selectivity ashable hard mask film

Publications (1)

Publication Number Publication Date
JP2013526783A true JP2013526783A (ja) 2013-06-24

Family

ID=44972835

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013511176A Withdrawn JP2013526783A (ja) 2010-05-20 2011-04-27 超高選択性アッシング除去可能ハードマスク膜

Country Status (6)

Country Link
US (1) US8361906B2 (ja)
JP (1) JP2013526783A (ja)
KR (1) KR20130113958A (ja)
CN (1) CN102934209A (ja)
TW (1) TWI554634B (ja)
WO (1) WO2011146212A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037641A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 황 도핑된 탄소 하드마스크들
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102969624A (zh) * 2012-11-12 2013-03-13 华为技术有限公司 一种连接器及电子设备
US9160116B2 (en) 2012-11-12 2015-10-13 Huawei Technologies Co., Ltd. Connector and electronic device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
JP6163820B2 (ja) * 2013-03-27 2017-07-19 日本ゼオン株式会社 エッチング方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
WO2015105651A1 (en) * 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
WO2015122981A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102171265B1 (ko) 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
WO2018226370A1 (en) 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019108376A1 (en) * 2017-12-01 2019-06-06 Applied Materials, Inc. Highly etch selective amorphous carbon film
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
SG11202009406RA (en) * 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112041481A (zh) * 2018-05-03 2020-12-04 应用材料公司 用于进行图案化的高品质c膜的脉冲等离子体(dc/rf)沉积
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI764002B (zh) 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7462626B2 (ja) 2018-10-26 2024-04-05 アプライド マテリアルズ インコーポレイテッド パターニング応用のための高密度炭素膜
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN113710829A (zh) * 2019-03-25 2021-11-26 朗姆研究公司 高蚀刻选择性的低应力可灰化碳硬掩模
JP2022534885A (ja) 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
JP2022538455A (ja) 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
CN115485811A (zh) * 2020-05-05 2022-12-16 朗姆研究公司 用于提高硬掩模的选择性的惰性气体注入
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230397416A1 (en) * 2022-06-03 2023-12-07 Tokyo Electron Limited Metal Hardmasks
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719968A (en) * 1981-01-15 1988-01-19 Speros Phillip C Heat exchanger
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7037830B1 (en) * 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7579228B2 (en) * 2007-07-10 2009-08-25 Freescale Semiconductor, Inc. Disposable organic spacers
US20090093128A1 (en) 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US8105465B2 (en) 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037641A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 황 도핑된 탄소 하드마스크들
JP2015070270A (ja) * 2013-09-30 2015-04-13 ラム リサーチ コーポレーションLam Research Corporation 硫黄ドープ炭素ハードマスク
KR102375870B1 (ko) * 2013-09-30 2022-03-16 램 리써치 코포레이션 황 도핑된 탄소 하드마스크들
KR20220035900A (ko) * 2013-09-30 2022-03-22 램 리써치 코포레이션 황 도핑된 탄소 하드마스크들
KR102525779B1 (ko) * 2013-09-30 2023-04-25 램 리써치 코포레이션 황 도핑된 탄소 하드마스크들
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Also Published As

Publication number Publication date
TWI554634B (zh) 2016-10-21
US8361906B2 (en) 2013-01-29
US20110287633A1 (en) 2011-11-24
TW201204862A (en) 2012-02-01
WO2011146212A2 (en) 2011-11-24
KR20130113958A (ko) 2013-10-16
WO2011146212A3 (en) 2012-03-01
CN102934209A (zh) 2013-02-13

Similar Documents

Publication Publication Date Title
US8361906B2 (en) Ultra high selectivity ashable hard mask film
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
TWI702654B (zh) 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
JP4879159B2 (ja) アモルファス炭素膜堆積のためのcvdプロセス
TWI686846B (zh) 先進圖案化中所用的降低線邊緣粗糙度的正形可剝離碳膜
JP2013524508A (ja) 窒素ドープされたアモルファスカーボンハードマスク
TW201216329A (en) Amorphous carbon deposition method for improved stack defectivity
WO2013169427A1 (en) Deposition of an amorphous carbon layer with high film density and high etch selectivity
CN113424297A (zh) 处理基板的方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140701