KR102375870B1 - 황 도핑된 탄소 하드마스크들 - Google Patents

황 도핑된 탄소 하드마스크들 Download PDF

Info

Publication number
KR102375870B1
KR102375870B1 KR1020140130456A KR20140130456A KR102375870B1 KR 102375870 B1 KR102375870 B1 KR 102375870B1 KR 1020140130456 A KR1020140130456 A KR 1020140130456A KR 20140130456 A KR20140130456 A KR 20140130456A KR 102375870 B1 KR102375870 B1 KR 102375870B1
Authority
KR
South Korea
Prior art keywords
sulfur
ahm
doped
source
carbon
Prior art date
Application number
KR1020140130456A
Other languages
English (en)
Other versions
KR20150037641A (ko
Inventor
시리쉬 케이. 래디
앨리스 지. 홀리스터
토스텐 릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150037641A publication Critical patent/KR20150037641A/ko
Priority to KR1020220031478A priority Critical patent/KR102525779B1/ko
Application granted granted Critical
Publication of KR102375870B1 publication Critical patent/KR102375870B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J31/00Apparatus for making beverages
    • A47J31/44Parts or details or accessories of beverage-making apparatus
    • A47J31/60Cleaning devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D65/00Wrappers or flexible covers; Packaging materials of special type or form
    • B65D65/38Packaging materials of special type or form
    • B65D65/46Applications of disintegrable, dissolvable or edible materials
    • B65D65/466Bio- or photodegradable packaging materials
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • C11D17/046Insoluble free body dispenser
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/12Carbonates bicarbonates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J2203/00Devices having filling level indicating means
    • C11D2111/20

Abstract

PECVD를 사용하여 높은 에칭 선택도 및 낮은 수소 함유량을 갖는 AHM들 (ashable hard masks) 을 형성하는 방법들이 제공된다. 방법들은 반도체 기판 상에서 에칭될 제1층을 탄소 소스 및 황 소스에 노출하는 단계, 및 제1층 상에 황-도핑된 AHM 또는 비정질 탄소-계 막을 증착하도록 플라즈마를 생성하는 단계를 수반한다.

Description

황 도핑된 탄소 하드마스크들{SULFUR DOPED CARBON HARD MASKS}
비정질 탄소 막들은 메모리 및 논리 디바이스 제조를 포함하는, 반도체 프로세싱 시 하드마스크들 (hard masks) 및 에칭 정지층들로서 사용될 수도 있다. 이들 막들은 이들이 애싱 (ashing) 기법에 의해 제거될 수도 있기 때문에 또한 애싱가능한 하드마스크들 (AHMs; ashable hard masks) 로 공지된다. 리소그래피 시 애스팩트 비들이 증가함에 따라, AHM들은 더 높은 에칭 선택도를 요구한다. PECVD (plasma enhanced chemical vapor deposition) 프로세스들을 사용하여 높은 선택도의 AHM들을 형성하는 현재 방법들은 하드마스크들로서 AHM들의 유용성을 제한하는, 높은 응력을 갖는 AHM들을 생성한다. 따라서, 높은 에칭 선택도를 갖지만, 낮은 응력을 갖는 AHM들을 생성하는 것이 바람직하다.
메모리 및 논리 디바이스 제조를 포함하는, 반도체 프로세싱 시 사용하기 위해 에칭 선택도를 증가시키는 AHM들 (ashable hard masks) 을 증착하는 (deposit) 신규한 방법들을 제공한다. 다양한 실시예들에서, 이 방법은 반도체 기판 상에서 에칭될 제1층에 탄소 소스 및 황 소스로부터의 프리커서 가스를 제공하는 단계, 및 PECVD (plasma enhanced chemical vapor deposition) 에 의해 제1층 상에 황-도핑된 AHM을 증착하도록 프리커서 가스로부터 플라즈마를 생성하는 단계를 수반한다. AHM이 증착될 수도 있는 층들의 예들은 산화물들 및 질화물들과 같은 유전체층들 및 폴리실리콘층들을 포함한다. 탄소 소스들의 예들은 메탄 (CH4), 아세틸렌 (C2H2), 및 프로필렌 (C3H6) 을 포함한다. 황 소스들의 예들은 황화 수소 (H2S) 및 이황화 탄소 (CS2) 를 포함한다. 다양한 실시예들에서, 탄소 소스 및 황 소스는 증착 챔버로부터의 업스트림에서 조합될 수도 있고, 또는 증착 챔버로 개별적으로 제공될 수도 있다. 특정한 실시예들에서, 황-도핑된 AHM들은 약 0.5% 내지 약 5%의 황 원자 함유량을 가질 수도 있다. 특정한 실시예들에서, 황-도핑된 AHM들은 약 60% 내지 약 90%의 탄소 원자 함유량을 가질 수도 있다. 특정한 실시예들에서, 황-도핑된 AHM들은 약 13% 내지 약 26%의 수소 원자 함유량을 가질 수도 있다. 일부 실시예들에서, 황-도핑된 AHM들은 패터닝될 수도 있다. 그 후 제1층은 패터닝된 AHM에 따라 에칭될 수도 있다. 일부 실시예들에서, 황-도핑된 AHM들은 약 1000Å 내지 약 90,000Å의 두께일 수도 있다. 다양한 실시예들에서, 황-도핑된 AHM들은 약 -40 MPa 내지 약 -400 MPa의 응력을 갖는다.
다른 양태는 반도체 기판 상에 황-도핑된 탄소-계 막을 형성하는 방법에 관한 것이다. 반도체 기판은 증착 챔버 내에 제공될 수도 있다. 다양한 실시예들에서, 반도체 기판은 증착 챔버 내에서 탄소 소스 및 황 소스를 포함하는 프리커서 가스에 노출되고 황-도핑된 탄소-계 막은 PECVD에 의해 기판 상에 증착된다. 특정한 실시예들에서, 황-도핑된 탄소-계 막은 약 0.5% 내지 약 5%의 황 원자 함유량을 가질 수도 있다. 특정한 실시예들에서, 황-도핑된 탄소-계 막은 약 0.5% 내지 약 3.5%의 황 원자 함유량을 가질 수도 있다. 다양한 실시예들에서, 황 소스는 황화 수소 또는 이황화 탄소일 수도 있다.
다른 양태는 반도체 기판을 프로세스하도록 구성된 장치에 관한 것이다. 다양한 실시예들에 따라, 장치는 샤워헤드, 증착 챔버에 전력을 인가하도록 구성된 플라즈마 생성기, 기판 지지부, 및 하나 이상의 가스 유입구들을 포함하는 증착 챔버, 및 장치 내에서 동작들을 제어하도록 구성되고 기판을 하우징하는 증착 챔버로 탄소 소스 및 황 소스를 포함하는 프리커서 가스를 유동시키고, 그리고 프리커서 가스로부터 플라즈마를 생성하여 PECVD에 의해 기판 상에 황-도핑된 AHM을 증착하도록 전력을 플라즈마 생성기에 인가하는 머신-판독가능한 인스트럭션들을 포함하는 제어기를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 추가로 기술된다.
도 1은 다양한 실시예들에 따른 에칭 동작들에서 AHM들을 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 2는 다양한 실시예들에 따라 황-도핑된 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 3은 다양한 실시예들을 실시하기에 적합한 PECVD 챔버의 예의 개략적인 예시를 도시한다.
이하의 기술에서, 다수의 구체적인 상세들은 제시된 실시예들의 전체적인 이해를 제공하기 위해 언급되었다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다. 다른 예에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 설명되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 프로세싱에서, 마스킹 방법들이 기판들을 패터닝하고 에칭하기 위해 사용될 수도 있다. 기판 애스팩트 비들이 증가함에 따라, 높은 선택도 하드마스크들에 대한 요구가 증가한다. 높은 에칭 선택도를 갖지만 여전히 기판에 손상을 주지않고 제거하기 쉬운 마스크들이 기판들을 프로세싱하는데 중요하다.
AHM들 (Ashable hard masks) 은 에칭 정지층들에서, 또는 선택적인 에칭 동안, 또는 포토레지스트가 아래에 놓인 층을 마스크할 만큼 충분한 두께를 갖지 않을 때 마스크들로서 사용될 수 있다. AHM들은 또한 디스플레이 및 다른 기술들에서 유리 기판들 상에 사용될 수도 있다.
AHM들이 이들의 목적을 달성하면, 이들이 애싱 (ashing) 이라고 하는, 대안적으로 플라즈마 애싱 또는 드라이 스트립핑 (dry stripping) 이라고 하는 기법에 의해 제거되도록 하는 화학적 조성을 갖는다. 애싱되는 하드마스크들을 갖는 기판들은 진공 하에서 챔버 내에 위치되고, 플라즈마로부터의 1가의 산소 (monatomic oxygen) 가 이를 물, 일산화 탄소, 및 이산화 탄소로 산화시키기 위해 하드마스크를 사용하여 반응한다. 플라즈마는 챔버 내에 또는 산소에 무선 주파수 전력을 가함으로써 원격으로 형성될 수 있다. 일부 예들에서, 하드마스크의 완전한 제거는 예를 들어, AHM이 애싱만으로 제거될 수 없는 임의의 잔여물을 남길 때 추가적인 습식 에칭 프로세스 또는 건식 에칭 프로세스를 사용하는 이어지는 애싱에 의해 달성될 수도 있다.
AHM은 일반적으로 탄소 및 수소, 그리고 선택적으로, 미량의 하나 이상의 도펀트들 (예를 들어, 질소, 불소, 붕소, 및 실리콘) 로 구성될 수도 있다. AHM의 결합 구조는 증착 조건들에 따라, sp2 (그래파이트형 (graphite-like)) 또는 sp3 (다이아몬드형 (diamond-like)), 또는 양자의 조합일 수도 있다.
도 1은 에칭 동작들에서 하드마스크로서 AHM을 사용하는 방법들의 관련된 동작들을 도시하는 프로세스 흐름도이다. AHM 증착 전에, 에칭될 층을 갖는 기판이 증착 챔버 내에 제공될 수 있다. 이하의 기술은 반도체 기판들을 참조하지만, 이 방법들은 유리 기판들과 같은 다른 타입들의 기판들 상의 층들에 적용될 수도 있다. AHM으로 마스크될 수도 있는 재료들의 예들은 산화물들 (예를 들어, SiO2) 및 질화물들 (예를 들어, SiN 및 TiN) 과 같은 유전체 재료들, 폴리실리콘 (Poly-Si), 및 알루미늄 (Al), 구리 (Cu), 및 텅스텐 (W) 과 같은 금속들을 포함한다. 특정한 실시예들에서, 본 명세서에 기술된 AHM들은 산화물 층들, 질화물 층들, 또는 폴리실리콘층들을 패터닝하도록 사용될 수도 있다.
동작 102에서, AHM이 증착 챔버에서 플라즈마를 생성하는 것을 수반할 수도 있는, PECVD에 의해 에칭될 층 상에 증착된다. 단일 무선 주파수 (RF) 플라즈마 소스들 또는 고주파수 (HF) 성분 및 저주파수 (LF) 성분을 포함하는 듀얼 RF 플라즈마 소스들이 사용될 수도 있다. 일부 프로세스들에서, 하나 이상의 AHM 층들이 증착될 수도 있다.
동작 104에서, 포토레지스트층이 증착되고, 목표된 에칭 패턴에 따라 현상된다. 일부 구현예들에서, 반사-방지층 (ARL) 이 포토레지스트 증착 전에 AHM 상에 증착될 수도 있다.
동작 106에서, AHM은 AHM의 노출된 부분들을 에칭함으로써 개방된다. AHM을 개방하는 것은 동작 110을 참조하여 이하에 기술되는 바와 같이, 산소-계 건식 에칭에 의해 수행될 수도 있다.
다음에, 동작 108에서, 기판층이 패턴을 기판층에 전사하도록 (transfer) 선택적으로 에칭된다. 선택적인 에칭은 기판층이 AHM 벽들을 실질적으로 약화시키지 않고 에칭되도록 수행될 수도 있다. 에칭들의 예들은 라디컬-기반 에칭 및/또는 이온-기반 에칭을 포함할 수 있다. 에칭 화합물들의 예들은 불소 함유 에칭 화합물과 같은 할로겐-계 에칭 화합물들 및 염소 함유 에칭 화합물들을 포함할 수 있다. 예를 들어, 탄화불소 함유 프로세스 가스들로부터 생성된 용량 결합 플라즈마들 (capacitively-coupled plasmas) 이 산화물층들을 선택적으로 에칭하기 위해 사용될 수도 있다. 프로세스 가스들의 구체적인 예들은 선택적으로 산소 (O2) 및 C4H8/CH2F2/O2/Ar과 같은 불활성 가스와 함께 CxFy 함유 프로세스 가스들을 포함한다. 에칭 프로세스는 다양한 실시예들에 따라 직접적인 (인-시츄 (in-situ)) 또는 원격 플라즈마를 사용할 수도 있다.
마지막으로, 동작 110에서, AHM은 애싱, 플라즈마 애싱, 또는 드라이 스트립핑에 의해 제거될 수도 있다. 산소 (O2) 가 AHM과 플라즈마 반응하고 물 (H2O), 일산화 탄소 (CO), 및 이산화 탄소 (CO2) 로 이를 산화하도록 진공 하에서 챔버에 도입될 수도 있다. 도펀트가 사용되면, 플라즈마는 도핑된 AHM과 반응하고 도핑된 AHM을 산화시킬 수 있다. 선택적으로, 임의의 남아 있는 AHM 잔여물이 또한 애싱 후에 습식 에칭 프로세스 또는 건식 에칭 프로세스에 의해 제거될 수도 있다. 목표된 패터닝된 기판층이 생성된다.
고 애스팩트 비의 패터닝은 높은 에칭 선택도를 갖는 AHM들을 사용할 수도 있다. 에칭 선택도는 AHM 층의 에칭 레이트를 아래에 놓인 층과 비교함으로써 결정될 수 있다. AHM이 보다 낮은 수소 함유량을 가지면, 이의 내구성이 강화될 수도 있높은 에칭 선택도가 증가될 수도 있다. AHM 에칭 레이트가 감소하면, 에칭 선택도가 증가할 수도 있다. 낮은 수소 함유량 및 보다 낮은 에칭 레이트를 갖는 AHM들은 높은 에칭 선택도를 산출할 수도 있다.
지금까지, 공지된, 높은 선택도의 AHM들은 높은 수소 함유량을 가져, 효과적인 AHM 사용을 제한한다. 현재의 방법들은 탄화 수소와 같은 탄소-계 프리커서 가스를 PECVD에 의해 도입함으로써, 탄소-계 AHM들을 형성한다. 그러나, 연속하는 이온 충격은 또한 AHM 내에 과도한 결합되지 않은 수소 원자들을 포함하고, 무거운 원자량의 이온들의 높은 이온 충돌들을 유발한다. 이는 높은 응력의 AHM이 더 붕괴하거나 압축되기 쉽기 때문에 AHM 적용들을 제한하는, 증착된 AHM의 응력을 증가시킨다. 증가된 응력 레벨들은 또한 정렬을 더 어렵게 한다. 실리콘, 붕소, 게르마늄, 및 질소와 같은 도펀트들은 에칭 선택도를 증가시키기 위해 AHM 수소 함유량을 감소시키도록 사용될 수도 있다. 그러나, 고 애스팩트 비 리소그래피는 종종 현재 도핑된 AHM들이 제공하는 것보다 높은 에칭 선택도를 갖는 AHM들을 필요로 한다.
다양한 실시예들에 따라, 본 명세서에 높은 선택도를 갖는 AHM들을 형성하는 방법들이 제공된다. 이들 방법들은 에칭 레이트를 지연시키고 제거하기 쉬워, 효과적인 반도체 프로세싱을 위해 높은 선택도의 하드마스크들을 야기하는, 낮은 수소 함유량을 갖는 황-도핑된 AHM들을 산출한다.
도 2는 다양한 실시예들에 따라 황-도핑된 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다. 먼저, 동작 202에서, 기판 상에서 에칭될 제1층이 챔버 내에 제공된다. 기판들 및 기판층들의 예들은 도 1의 동작 102를 참조하여 상기에 기술되었다. 도 1에서, 하드마스크로서 AHM을 사용하는 집적 방법이 기술되었다. 일부 다른 실시예들에서, 본 명세서에 기술된 AHM들은 하드마스크들에 부가하여 또는 하드마스크들 대신 에칭 정지층들로서 사용될 수도 있다.
다음에, 동작 204에서, 제1층은 탄소 소스 및 황 소스를 포함하는 프리커서 가스에 노출된다. 다양한 실시예들에서, 탄소 소스는 일반식 CxHy로 규정된 탄화 수소 프리커서일 수도 있고, 여기서, X는 2 내지 10의 정수이고, Y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 시클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. 일부 실시예들에서, 2종 이상의 탄화 수소 프리커서들이 도입될 수도 있다.
다양한 실시예들에서, 황 소스는 황화 수소 (H2S), 이황화 탄소 (CS2), 이산화 황 (SO2), 육불화 황 (SF6) 또는 황화 카르보닐 (COS) 일 수도 있다. 다른 황 소스들은 메탄 티올 (methane-thiol), 에탄 티올, 및 에탄 디티올 (ethane dithiol) 을 포함한다. 황 소스 및 탄소 소스는 미리 혼합될 (premix) 수도 있다. 일부 실시예들에서, 황 소스 및 탄소 소스는 챔버에 개별적으로 제공될 수도 있다.
탄화 수소 및 황-계 프리커서에 부가하여, 캐리어 가스가 프리커서 가스 플로우를 희석하도록 사용될 수도 있다. 캐리어 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2), 수소 (H2), 또는 이들의 임의의 조합을 포함하는 임의의 적합한 캐리어 가스일 수도 있다.
증착 챔버 내의 총 압력은 약 1 Torr 내지 약 20 Torr, 또는 약 1.8 Torr의 범위일 수도 있다. 일부 실시예들에서, 압력은 약 1 Torr 내지 10 Torr일 수도 있다. 일부 실시예들에서, 탄화 수소 프리커서는 예를 들어, 본 명세서에 참조로서 통합된 미국 특허 제 7,981,777 호 및 제 7,981,810 호에 기술된 바와 같이, 약 0.01 Torr 내지 약 4 Torr의 낮은 부분 압력으로 도입될 수도 있다. 특정한 실시예들에서, 부분 압력은 약 0.2 Torr 이하일 수도 있다.
프리커서 가스 플로우 레이트들은 특정한 증착 챔버 및 기판에 의존한다. 300 ㎜ 기판들에 사용된 플로우 레이트들의 예들은 아세틸렌 약 200 sccm 내지 약 4,000 sccm, 수소 약 1,000 sccm 내지 약 20,000 sccm, 및 헬륨 약 0 내지 약 20,000 sccm이다. 일부 실시예들에서, 질소의 플로우 레이트는 약 0 sccm 내지 약 3,000 sccm일 수도 있다. 황 소스의 플로우 레이트들의 예들은 이황화 탄소 약 50 sccm 내지 400 sccm일 수 있다. 선택적으로, 프리커서 가스들은 연속적으로 유동될 수도 있고 또는 펄스될 수도 있다. 탄소 소스 및 황 소스는 동시에 펄스될 수도 있고 또는 동시에 펄스되지 않을 수도 있다. 황 소스의 펄스 주파수는 약 0.05 ㎐ 내지 약 1 ㎐일 수도 있다. 탄소 소스의 펄스 주파수는 약 0.05 ㎐ 내지 약 1 ㎐일 수도 있다.
본 명세서에 개시된 방법들은 약 50℃ 내지 약 550℃의 범위의 예들과 함께 목표된 AHM 특성들을 획득하기 위해 임의의 적절한 프로세스 온도로 사용될 수도 있다. 프로세스 온도는 sp2 결합 대 sp3 결합 형성으로 인해 선택도 및 투과도에 적어도 부분적으로 영향을 줄 수 있다. 보다 높은 온도들이 C-H 결합들의 용이한 파괴 및 이후의 수소의 확산을 가능하게 하기 때문에 보다 높은 온도들은 sp2 리치 (rich) 비정질 탄소 네트워크 형성을 선호한다. 예를 들어, 약 500℃ 이상의 온도로 증착된 막들은 증가된 탄소 함유량 및 보다 높은 밀도와 함께, sp3 결합들과 비교하여 상당히 많은 sp2 CH 및 CH2 결합들을 가질 수도 있고, 이는 증가된 에칭 선택도와 상관된다. 그러나, 이들 막들은 sp2 탄소의 보다 낮은 광 밴드갭으로 인해 두꺼운 하드마스크 애플리케이션들에는 적합하지 않을 수도 있다. 예를 들어, 2 kÅ 이상의 막들은 마스크 정렬을 위한 투과도가 충분하지 않을 수도 있다. 상기에 참조된 미국 특허 제 7,981,810 호는 보다 낮은 온도들 및/또는 희석된 탄화 수소 프리커서 플로우로 선택성 및 투과성 AHM들의 증착을 위한 프로세스 조건들을 제공한다. 보다 낮은 온도들 예를 들어, 약 400℃ 이하에서, 증착된 AHM들은 보다 높은 온도들에서 증착된 AHM들에 비해 가변하는 양의 sp2 결합을 가질 수도 있다.
다음에, 도 2로 돌아가서, 동작 206에서, 플라즈마가 플라즈마 소스를 사용하여 점화된다. 일부 실시예들에서, 단일 주파수 RF 플라즈마 소스가 용량 결합 플라즈마를 생성하도록 사용될 수도 있다. RF 소스의 주파수는 예를 들어, 약 13.56 ㎒일 수도 있다. 다양한 실시예들에서, 플라즈마 소스는 HF RF 성분 및 LF RF 성분을 포함하는 듀얼 RF 플라즈마 소스일 수도 있다. 특정한 실시예들에서, 실시예들의 방법들은 고 에너지 이온 충격을 생성하기 위해 LF RF 전력을 사용한다. 낮은 주파수 RF 전력은 100 ㎑ 내지 2 ㎒, 예를 들어, 430 ㎑의 주파수를 갖는 RF 전력을 지칭한다. 증착 동안, 일부 실시예들에서, LF 전력은 약 0.001 W/㎠ 내지 약 0.5 W/㎠, 또는 약 0.14 W/㎠ 내지 약 0.35 W/㎠의 범위이고, W/㎠는 제곱 센티미터의 기판 표면 면적 당 와트를 표현한다. 높은 주파수 RF 전력은 약 13.56 ㎒의 주파수와 같이, 2 ㎒ 내지 60 ㎒의 주파수를 갖는 RF 전력을 지칭한다. 증착 동안, 일부 실시예들에서, HF 전력은 약 0.001 W/㎠ 내지 약 0.2 W/㎠, 또는 0.14 W/㎠의 범위이다.
선택적으로, RF 전력은 약 2 ㎐ 내지 약 200 ㎐의 주파수로 펄스될 수도 있다. 펄싱 또는 연속하는 RF 전력 또는 황 플로우의 적어도 4개의 변형들이 사용될 수 있다. 먼저, RF 전력이 연속적일 수도 있고 황 플로우는 연속적이다. 두번째, RF 전력은 펄스될 수도 있고 황 플로우는 연속적이다. 세번째, RF 전력은 연속적일 수도 있고 황 플로우는 펄스된다. 네번째, RF 전력 및 황 소스 플로우 양자가 펄스될 수도 있다.
이하에 기술된 도 3 및 설명이 주로 PECVD 증착을 위해 용량 결합 플라즈마를 생성하는 RF 플라즈마 소스를 예시하고 참조하지만, DC (direct current) 및 마이크로파 소스들을 포함하는 다른 타입들의 소스들이 사용될 수도 있다.
다음에 도 2를 참조하면, 동작 208에서, 황-도핑된 AHM은 PECVD에 의해 제1층 상에 증착된다. 특정한 실시예들에서, 황-도핑된 AHM 내의 수소 원자 함유량은 약 13% 내지 약 26%일 수도 있고 황 원자 함유량은 약 0.5% 내지 약 5%, 또는 약 0.5% 내지 약 3.5%, 또는 약 2%일 수도 있다. 황-도핑된 AHM의 탄소 함유량은 약 60% 내지 약 90%일 수도 있다. 일부 실시예들에서, 제1층은 산화물/폴리실리콘 스택이다. 일부 실시예들에서, 제1층은 산화물/질화물 스택이다. 일부 실시예들에서, 황-도핑된 AHM은 약 1 이하, 또는 약 0.1 내지 약 0.7의 응력에 대한 탄성 계수 (modulus) 를 가질 수도 있다. 일부 실시예들에서, 황-도핑된 AHM의 탄성 계수는 약 30 GPa 내지 약 50 GPa이고 응력은 약 -400 MPa 내지 약 -50 MPa일 수도 있다. 주어진 응력에 대해, 에칭 레이트는 도핑이 증가함에 따라 감소한다 (에칭 선택도는 증가).
개시된 실시예들은 어떠한 특정한 이론으로도 제한되지 않지만, 황-계 프리커서 가스를 사용하는 것이 보다 낮은 배위수 (sp3 결합과는 반대로) 로 인해 보다 높은 에칭 레이트에 민감한 sp2 공유 결합을 생성할 수도 있고, sp2 결합들의 구조는 네트워크에서 황 및 탄소 원자들의 체적을 증가시킬 수도 있어서, AHM의 강도를 강화하고 선택도를 증가시킨다고 믿어진다.
또한, 황 소스는 황 및 탄소 원자와 수소 원자 사이에, 보다 안정하거나 응력을 덜 받는 고체 또는 보다 안정하고 응력을 덜 받는 고체를 생성하는, 잠재적인 이온 력 (ionic force) 을 생성할 수도 있다고 믿어진다. 그러나, H2S와 같은 수소를 갖는 황 소스는 증착 동안 수소 이온의 양 또는 라디컬 충격을 증가시키고 AHM 응력을 증가시킬 위험이 있을 수도 있다.
개시된 실시예들은 어떠한 특정한 이론으로도 제한되지 않지만, 황-도핑된 AHM은 에칭 프로세스에서 에칭 동안 가스 플로우들을 견디는 AHM의 능력을 강화하는, 지연제로서 기능하여 에칭 화학물질이 탄소 대신 AHM의 황과 상호작용한다고 믿어진다. 따라서, 황-도핑된 AHM은 마스킹 강도를 강화하고 에칭 선택도를 증가시킨다.
따러서, 황-도핑된 AHM의 에칭 선택도의 증가는 결합 형성 및 구조, 원자들 간의 공유 결합 및 이온 력, 및 에칭 지연 특성들로 인한 것일 수도 있다.
상기 도 1을 참조하여 AHM들을 사용하는 다른 실시예에서, 방법 (100) 은 동작 104에서 포토레지스트층이 증착되고 현상되기 전에 동작 102에서 제1층 상에 황-도핑된 탄소-계 AHM들 또는 황-도핑된 비정질 탄소 막들의 증착을 구현할 수도 있다. 동작 106에서 황-도핑된 탄소-계 막을 에칭한 후, 동작 108에서 제1층은 선택적으로 에칭된다. 마지막으로, 플라즈마 애싱은 목표된 패터닝된 제1층을 산출하는, 황-도핑된 탄소-계 막의 제거에 사용될 수도 있다.
다양한 실시예들에서, 황-도핑된 탄소-계 층을 형성하기 위해 사용된 도펀트는 황화 수소 (H2S) 또는 이황화 탄소 (CS2) 일 수도 있다. 개시된 실시예들은 어떠한 이론으로도 제한되지 않지만, 황이 제거를 위해 산소-계 플라즈마와 잘 반응할 수 있기 때문에 플라즈마 애싱이 황-도핑된 AHM을 효율적으로 제거한다고 믿어진다.
장치
실시예들은 멀티-스테이션, 또는 멀티-챔버, 또는 단일 스테이션 툴로 구현될 수 있다. 다양한 실시예들은 PECVD 반응 장치들, 예를 들어, Lam Research Corporation으로부터 입수가능한 SequelTM 또는 VectorTM과 같은, 기존의 반도체 프로세싱 장비와 호환가능할 수도 있다. 일반적으로, 장치는 각각 하나 이상의 스테이션들을 포함하는, 하나 이상의 챔버들 또는 반응 장치들을 하우징한다. 기판 프로세싱에 적합한 챔버들은 하나 이상의 기판들을 하우징할 수도 있다. 하나 이상의 챔버들은 회전, 진동, 또는 다른 동요를 방지함으로써, 다른 규정된 위치 또는 위치들에 기판을 유지한다. 일부 실시예들에서, 황-도핑된 AHM 증착을 겪는 기판은 증착 동안 챔버 내에서 하나의 스테이션에서 다른 스테이션으로 이동될 수도 있다. 예를 들어, 2000 Å의 황-도핑된 AHM은 하나의 스테이션에서 전체적으로 증착될 수도 있고, 또는 500 Å의 막이 다양한 실시예들에 따라 4 개의 스테이션들 각각에서 증착될 수도 있다. 대안적으로, 총 막 두께의 임의의 다른 단편 (fraction) 이 임의의 수의 스테이션들에서 증착될 수도 있다. 다양한 실시예들에서 하나 이상의 AHM이 증착될 때, 하나 이상의 스테이션이 각각의 AHM 층을 증착하도록 사용될 수도 있다. 프로세싱 동안, 기판 각각은 페데스탈, 웨이퍼 척, 및/또는 다른 기판 홀딩 장치에 의해 제 위치에 홀딩된다. 기판이 히팅되는 특정한 동작들에서, 장치는 히팅 플레이트와 같은 히터를 가질 수도 있다.
도 3은 다양한 실시예들을 실시하기에 적합한 PECVD 반응 장치의 예의 개략적인 예시를 도시한다. 도시된 바와 같이, 반응 장치 (300) 는 멀티-스테이션 툴의 스테이션, 또는 멀티-챔버, 멀티-스테이션 툴의 챔버일 수도 있는, 챔버 (324) 를 하우징한다. 챔버 (324) 는 캐패시터 타입 시스템에 의해 생성된 플라즈마를 사용하는 다른 반응 장치 구성요소들 및 접지된 히터 블록 (320) 과 함께 작동하는 샤워헤드 (314) 를 둘러싼다. LF RF 생성기 (302) 및 HF RF 생성기 (304) 는 샤워헤드 (314) 에 또한 접속되는, 매칭 네트워크 (306) 에 접속될 수도 있다. 일부 실시예들에서, 단일 RF 생성기 (도시되지 않음) 가 사용될 수도 있다. 프로세스 가스로부터 플라즈마를 생성하기에 충분한 전력 및 주파수가 매칭 네트워크 (306) 에 의해 공급될 수도 있다.
반응 장치 내에서, 기판 지지부 (318) 는 기판 (316) 을 홀딩한다. 페데스탈은 일반적으로 증착 및/또는 플라즈마 반응들 동안 그리고 증착과 플라즈마 반응들 사이에서 기판을 홀딩하고 이동시키기 위한 척, 포크 (fork), 또는 리프트 핀들 (lift pins) 을 갖는다. 척은 정전 척, 기계적인 척 또는 다양한 다른 타입들의 척일 수도 있다.
프로세스 가스들은 유입구 (312) 를 통해 도입된다. 다수의 소스 가스 선들 (310) 이 매니폴드 (308) 에 접속된다. 가스들은 선택적으로 미리 혼합될 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스 동안 정확한 가스들이 전달되는 것을 보장하기 위해 채택될 수도 있다. 임의의 화학적 프리커서들이 액체 형태로 전달되는 경우에서, 액체 유량 제어 매커니즘들이 채택될 수도 있다. 그 후 액체는 기화되고 증착 챔버에 도달하기 전에 자신의 기화점 이상으로 히팅된 매니폴드 내에서의 이동 동안 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출구 (322) 를 통해 챔버 (324) 를 나온다. 진공 펌프 (326) (예를 들어, 1단계 또는 2단계 기계적 드라이 펌프, 또는 터보분자 (turbomolecular) 펌프) 는 프로세스 가스들을 유출하고 (draw out), 쓰로틀 밸브 (throttle valve) 또는 진자 밸브 (pendulum valve) 와 같은 폐루프 제어된 유량 제한 디바이스에 의해 반응 장치 내에 적합한 낮은 압력을 유지한다. 다양한 실시예들에서, 챔버 내의 플라즈마 밀도는 약 1014 내지 약 1016 ions/㎥일 수도 있다.
반응 장치 (300) 는 또한 반응 장치 (300) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채택된 시스템 제어기 (328) 의 실시예를 포함한다. 예를 들어, 일부 실시예들에서, 시스템 제어기 (328) 는 PECVD 막 증착 페이즈 (phase) 동안 목표된 황-도핑된 AHM 막을 달성하기 위해, 탄소 소스 및 황 소스로부터의 프리커서 가스 플로우, 또는 LF RF 전력 및 HF RF 전력 레벨들과 같은 하나 이상의 프로세스 파라미터들을 제어할 수도 있다.
일부 실시예들에서, 시스템 제어기 (328) (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있음) 는 프로세스 툴의 일부 또는 전부의 동작들을 제어한다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 시스템 제어기 (328) 와 연관된 메모리 디바이스 상에 저장될 수도 있고 또는 이들은 네트워크를 통해 제공될 수도 있다. 특정한 실시예들에서, 시스템 제어기 (328) 는 시스템 제어 소프트웨어를 실행한다.
예를 들어, 시스템 제어기 (328) 는 적절한 가스들 (예를 들어, 탄소 소스 또는 황 소스) 의 전달, 장치 외부로부터의 기판의 수용 및/또는 멀티-스테이션 챔버의 하나의 스테이션으로부터 다음 스테이션으로의 기판의 이동을 제어할 수도 있다. 시스템 제어기 (328) 는 또한 증착 동안 온도, 압력, LF RF 전력, HF RF 전력, 등을 제어할 수도 있다.
시스템 제어기 (328) 는 하나 이상의 메모리 디바이스들, 하나 이상의 대용량 저장 디바이스들, 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (328) 는 반응 장치 (300) 의 모든 액티비티들을 제어한다. 일부 실시예들에서, 시스템 제어기 (328) 는 장치가 본 실시예들에 따른 방법을 수행하도록 대용량 저장 디바이스 저장되고, 메모리 디바이스로 로딩되고, 프로세서 상에서 실행되는 머신-판독가능 시스템 제어 소프트웨어를 실행한다. 대안적으로, 제어 로직은 시스템 제어기 (328) 내에 하드 코딩 (hard coding) 될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), 프로그램 가능한 논리 디바이스들 (Programmable Logic Devices) (예를 들어, FPGA들 (field-programmable gate arrays), 등이 이들 목적들로 사용될 수도 있다. 이하의 논의에서, “소프트웨어” 또는 “코드”가 사용되는 경우, 기능적으로 비교가능한 하드 코딩된 로직이 그 자리에 사용될 수도 있다.
시스템 제어 소프트웨어는 타이밍, 가스들의 혼합물, 프로세스 가스들 (예를 들어, 황 소스, 탄소 소스, 등) 의 플로우 레이트, 챔버 압력 및/또는 스테이션 압력 및/또는 반응 장치 압력, 챔버 온도 및/또는 스테이션 온도 및/또는 반응 장치 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 위치 및/또는 서셉터 (susceptor) 위치, 및 반응 장치 (300) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 제조 프로세스의 페이즈 각각은 시스템 제어기 (328) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 낮은 응력의, 황-도핑된 AHM들을 증착하기 위한 다양한 프로세스 조건들을 설정하기 위한 인스트럭션들이 포함될 수도 있다. 관련 프로세스 조건들의 예들이 본 명세서에 기술된다.
시스템 제어기 (328) 와 연관된 대용량 저장 디바이스 및/또는 메모리 디바이스에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 프로세스 스테이션 기판 지지부 (318) 상에 기판을 로딩하고 기판과 반응 장치 (300) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 구성요소들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 본 명세서의 실시예들에 따라 황 소스 및/또는 탄소 소스로부터의 가스를 펄싱 (pulsing) 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판 및/또는 프로세싱 챔버를 히팅 (heat) 하기 위해 사용된 하나 이상의 히팅 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (328) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (328) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 탄소 소스 또는 황 소스와 같은 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (328) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 반응 장치 (300) 의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (328) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 프로세스 가스들로의 노출 기간 및/또는 히팅에 대한 노출 기간 및/또는 다른 에너지 소소들에 대한 노출 기간, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착 및 추가의 프로세싱 (예를 들어, 패터닝, 에칭, 및 활성화 (activating)) 을 동작시키기 위한 파라미터들을 제어할 수도 있다.
일부 실시예들에서, 황-도핑된 비정질 탄소 막들은 HDP (high-density plasma) 방법 및 장치를 사용하여 형성될 수도 있다. HDP 방법들은 일반적으로 ㅂ반응 공간의 업스트림 (upstream) 에 위치된 유도-결합된 플라즈마 생성기들을 사용한다. 일부 실시예들에서, HDP 프로세스에서의 플라즈마의 밀도는 약 1017 내지 약 1019 ions/㎥일 수도 있다. 일부 실시예들에서, 증착 동안 HDP에 의한 압력은 약 1 mTorr 내지 약 200 mTorr일 수 있다.
실험 (EXPERIMENTAL)
주어진 스트레스 레벨에서 황-도핑된 탄소 하드마스크 및 도핑되지 않은 탄소 하드마스크의 에칭 레이트들을 측정하기 위한 실험이 수행된다. 실험은 1.8 Torr의 프로세스 압력에서 수행되었다. 이하의 플라즈마 전력들은 300 ㎜ 기판들에 대한 4-스테이션 툴에 대해 주어진다.
도핑되지 않은 탄소 하드마스크는 400 W의 HF 전력 및 1000 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 2500 sccm의 아세틸렌 (C2H2) 을 유동시킴으로써 제조된다.
6 개의 황-도핑된 탄소 하드마스크들이 제조된다. 첫번째, 2% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 1000 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 2500 sccm의 아세틸렌 (C2H2) 및 50 sccm의 이황화 탄소 (CS2) 를 유동시킴으로써 제조된다.
두번째 2% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 1000 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 625 sccm의 아세틸렌 (C2H2), 68 sccm의 이황화 탄소 (CS2), 및 2750 sccm 의 질소 (N2) 를 유동시킴으로써 제조된다.
첫번째 5% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 1000 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 2500 sccm의 아세틸렌 (C2H2), 262 sccm의 이황화 탄소 (CS2), 1250 sccm의 헬륨, 및 1500 sccm의 질소 (N2) 를 유동시킴으로써 제조된다.
두번째 5% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 1000 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 625 sccm의 아세틸렌 (C2H2), 168 sccm의 이황화 탄소 (CS2), 1250 sccm의 헬륨, 및 1500 sccm의 질소 (N2) 를 유동시킴으로써 제조된다.
세번째 5% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 400 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 625 sccm의 아세틸렌 (C2H2), 168 sccm의 이황화 탄소 (CS2), 1250 sccm의 헬륨, 및 1500 sccm의 질소 (N2) 를 유동시킴으로써 제조된다.
네번째 5% 황-도핑된 탄소 하드마스크가 400 W의 HF 전력 및 400 W의 LF 전력의 듀얼 RF 플라즈마를 사용하여 625 sccm의 아세틸렌 (C2H2), 168 sccm의 이황화 탄소 (CS2), 1250 sccm의 헬륨, 및 1500 sccm의 질소 (N2) 를 유동시킴으로써 제조된다.
모두 7 개의 스택들에 대한 응력 및 탄성 계수가 측정된다. 산화물/질화물/산화물/질화물 (ONON) 스택 및 산화물/폴리실리콘/산화물/폴리실리콘 (OPOP) 스택에 대한 상대적인 에칭 레이트가 하드마스크 각각에 대해 측정된다. 상대적인 에칭 레이트들은 도핑되지 않은 하드마스크와 비교하여 도핑된 하드마스크들에 대한 에칭 레이트 향상의 비교를 도시하도록 정규화된다. 결과들이 표 1에 요약되었다.
표 1. 도핑된 AHM 대 도핑되지 않은 AHM의 응력, 탄성계수, 및 에칭 레이트
응력 (MPa) 탄성계수
(GPa)
상대적인 에칭 레이트
ONON OPOP
도핑되지 않은 AHM 2 25 1.00 1.00
2% S-도핑된 AHM #1 -51 34 0.94 0.96
2% S-도핑된 AHM #2 -118 72 0.81 0.74
5% S-도핑된 AHM #1 -96 46 0.80 0.94
5% S-도핑된 AHM #2 -372 68 0.58 0.81
5% S-도핑된 AHM #3 -160 70 0.56 0.76
5% S-도핑된 AHM #4 -140 72 0.80 0.76
종합적으로, 도핑되지 않은 AHM에 비교하여, 2% 황-도핑된 AHM들 및 5% 황-도핑된 AHM들은 모두 ONON 스택 및 OPOP 스택 양자에 대해 보다 낮은 에칭 레이트를 나타내어, 보다 높은 에칭 선택도를 나타낸다. 주어진 응력들로 AHM들이 비교된다.
ONON 에칭 레이트들은 -100 MPa 내지 0 MPa의 범위의 응력 레벨을 갖는 하드마스크들 간에서 비교된다. 5% S-도핑된 AHM #1은 2% S-도핑된 AHM #1과 비교하여 ONON 스택에 상대적으로 보다 낮은 에칭 레이트를 갖고, 이는 5%의 증가된 도핑을 갖는 AHM이 보다 높은 에칭 선택도를 갖는다는 것을 제안한다. 유사하게, -200 MPa 내지 -100 MPa의 응력 레벨을 갖는 하드마스크들에 대해, 5% S-도핑된 AHM #3 및 5% S-도핑된 AHM #4는 2% S-도핑된 AHM #1 및 도핑되지 않은 AHM과 비교하여 ONON 스택에 상대적으로 보다 낮은 에칭 레이트를 나타낸다. 5% S-도핑된 AHM #2는 또한 2% S-도핑된 AHM들 및 도핑되지 않은 AHM과 비교하여 ONON 스택에 상대적으로 보다 낮은 에칭 레이트를 나타낸다.
OPOP 에칭 레이트들은 도핑되지 않은 하드마스크와 도핑된 하드마스크 사이에서 비교된다. 표 1의 결과는 OPOP 에칭에 대해, S-도핑된 AHM들 (2% 및 5%의 변화들 모두) 은 도핑되지 않은 AHM에 상대적으로 보다 낮은 에칭 레이트를 갖는다는 것을 나타낸다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 이해될 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주목해야 한다. 따라서, 본 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되는 것은 아니다.

Claims (18)

  1. 반도체 기판 상에서 에칭될 제1층 상에 애싱가능한 하드마스크 (AHM; ashable hard mask) 를 형성하는 방법에 있어서,
    상기 반도체 기판을 하우징하는 증착 챔버 (deposition chamber) 에 탄소 소스 및 황 소스를 포함하는 프리커서 가스를 제공하는 단계; 및
    상기 프리커서 가스로부터 플라즈마를 생성하여 PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 상기 제1층 상에 황-도핑된 AHM을 증착하는 단계를 포함하고,
    상기 탄소 소스 및 상기 황 소스는 상기 증착 챔버로부터의 업스트림에서 조합되는, AHM을 형성하는 방법.
  2. 제1항에 있어서,
    상기 증착된 황-도핑된 AHM은 0.5% 내지 5%의 황 원자 함유량을 갖는, AHM을 형성하는 방법.
  3. 제2항에 있어서,
    상기 증착된 황-도핑된 AHM은 60% 내지 90%의 탄소 원자 함유량을 갖는, AHM을 형성하는 방법.
  4. 제2항에 있어서,
    상기 증착된 황-도핑된 AHM은 13% 내지 26%의 수소 원자 함유량을 갖는, AHM을 형성하는 방법.
  5. 제1항에 있어서,
    상기 황-도핑된 AHM은 1000Å 내지 90,000Å의 두께를 갖는, AHM을 형성하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 황-도핑된 AHM은 -40MPa 내지 -400MPa의 응력을 갖는, AHM을 형성하는 방법.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제1층은 산화물층, 질화물층, 및 폴리실리콘층으로 구성된 그룹으로부터 선택되는, AHM을 형성하는 방법.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 탄소 소스는 메탄, 아세틸렌, 또는 프로필렌인, AHM을 형성하는 방법.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 황 소스는 황화 수소 (H2S) 또는 이황화 탄소 (CS2) 인, AHM을 형성하는 방법.
  10. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 황 소스는 이황화 탄소 (CS2) 이고 상기 탄소 소스는 아세틸렌 (C2H2) 인, AHM을 형성하는 방법.
  11. 삭제
  12. 삭제
  13. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 황-도핑된 AHM 층을 패터닝하는 단계를 더 포함하는, AHM을 형성하는 방법.
  14. 제13항에 있어서,
    상기 황-도핑된 AHM의 상기 패턴에 따라 상기 제1층을 에칭하는 단계를 더 포함하는, AHM을 형성하는 방법.
  15. 반도체 기판 상에 황-도핑된 비정질 탄소-계 막을 형성하는 방법에 있어서,
    증착 챔버 내에 상기 반도체 기판을 제공하는 단계;
    탄소 소스 및 황 소스를 포함하는 프리커서 가스에 상기 반도체 기판을 노출시키는 단계; 및
    PECVD 프로세스에 의해 상기 반도체 기판 상에 상기 황-도핑된 비정질 탄소-계 막을 증착하는 단계를 포함하고,
    상기 탄소 소스 및 상기 황 소스는 상기 증착 챔버로부터의 업스트림에서 조합되는, 반도체 기판 상에 황-도핑된 비정질 탄소-계 막을 형성하는 방법.
  16. 제15항에 있어서,
    상기 황-도핑된 비정질 탄소-계 막은 0.5% 내지 5%의 황 원자 함유량을 갖는, 반도체 기판 상에 황-도핑된 비정질 탄소-계 막을 형성하는 방법.
  17. 제15항 또는 제16항에 있어서,
    상기 황 소스는 황화 수소 (H2S) 또는 이황화 탄소 (CS2) 인, 반도체 기판 상에 황-도핑된 비정질 탄소-계 막을 형성하는 방법.
  18. 반도체 기판을 프로세스하도록 구성된 장치에 있어서,
    (a) 증착 챔버로서,
    샤워헤드,
    플라즈마 생성기,
    기판 지지부, 및
    하나 이상의 가스 유입구들을 포함하는, 상기 증착 챔버; 및
    (b) 상기 장치 내에서 동작들을 제어하기 위한 제어기로서,
    상기 반도체 기판을 하우징하는 상기 증착 챔버로 탄소 소스 및 황 소스를 포함하는 프리커서 가스를 유동시키고, 그리고
    상기 프리커서 가스로부터 플라즈마를 생성하여 PECVD 프로세스에 의해 상기 반도체 기판 상에 황-도핑된 AHM을 증착하도록 전력을 상기 플라즈마 생성기에 인가하는 머신-판독가능한 인스트럭션들을 포함하고,
    상기 탄소 소스 및 상기 황 소스는 상기 증착 챔버로부터의 업스트림에서 조합되는, 상기 제어기를 포함하는, 장치.
KR1020140130456A 2013-09-30 2014-09-29 황 도핑된 탄소 하드마스크들 KR102375870B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220031478A KR102525779B1 (ko) 2013-09-30 2022-03-14 황 도핑된 탄소 하드마스크들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884800P 2013-09-30 2013-09-30
US61/884,800 2013-09-30
US14/270,001 US9320387B2 (en) 2013-09-30 2014-05-05 Sulfur doped carbon hard masks
US14/270,001 2014-05-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220031478A Division KR102525779B1 (ko) 2013-09-30 2022-03-14 황 도핑된 탄소 하드마스크들

Publications (2)

Publication Number Publication Date
KR20150037641A KR20150037641A (ko) 2015-04-08
KR102375870B1 true KR102375870B1 (ko) 2022-03-16

Family

ID=52738893

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140130456A KR102375870B1 (ko) 2013-09-30 2014-09-29 황 도핑된 탄소 하드마스크들
KR1020220031478A KR102525779B1 (ko) 2013-09-30 2022-03-14 황 도핑된 탄소 하드마스크들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220031478A KR102525779B1 (ko) 2013-09-30 2022-03-14 황 도핑된 탄소 하드마스크들

Country Status (5)

Country Link
US (2) US9320387B2 (ko)
JP (1) JP6689565B2 (ko)
KR (2) KR102375870B1 (ko)
CN (1) CN104517815B (ko)
TW (1) TWI644361B (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10045654B2 (en) 2014-02-14 2018-08-14 Coffee Solutions, Llc Moving inlet nozzles in beverage systems
DE102016201341B4 (de) 2015-02-09 2021-11-25 Samsung Electro-Mechanics Co., Ltd. Mehrbandantenne mit externem leiter und elektronische einrichtung, die diese enthält
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10702096B2 (en) * 2015-10-30 2020-07-07 Adrian Rivera Brewing chamber cleaner
US11638499B2 (en) 2020-05-27 2023-05-02 Adrian Rivera Beverage brewer spray apparatus having multiple dispersion members
EP3370586B1 (en) * 2015-11-06 2020-12-09 Cafetto Asia Pte. Ltd. Cleaning arrangement for capsule based beverage dispensers
CN106706710A (zh) * 2015-11-11 2017-05-24 中国科学院上海微系统与信息技术研究所 基于硫掺杂石墨烯的氮氧化物气体传感器及其制备方法
DE102016100724A1 (de) * 2016-01-18 2017-07-20 Miele & Cie. Kg Getränkeautomat mit automatischer Reinigungsvorrichtung
US10043672B2 (en) * 2016-03-29 2018-08-07 Lam Research Corporation Selective self-aligned patterning of silicon germanium, germanium and type III/V materials using a sulfur-containing mask
US20170280929A1 (en) * 2016-03-30 2017-10-05 Eco 2, Llc Descaling device for a beverage machine and method of descaling a beverage machine
US9622616B1 (en) 2016-03-30 2017-04-18 Eco 2, Llc Descaling pod for brewing machine
US20230180963A1 (en) * 2016-06-23 2023-06-15 Adrian Rivera Brewing Machine Cleaner
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
AU2017359300B2 (en) 2016-11-09 2022-03-31 Pepsico, Inc. Carbonated beverage makers, methods, and systems
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US20200407137A1 (en) * 2018-03-15 2020-12-31 Dsm Ip Assets B.V. Bags-in-bag packaging system
US20210043455A1 (en) * 2018-04-24 2021-02-11 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of carbon hard-mask
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
TWI804638B (zh) 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
CN115039209A (zh) * 2019-12-31 2022-09-09 玛特森技术公司 用于硬掩模去除的系统和方法
CN115917714A (zh) * 2020-09-29 2023-04-04 朗姆研究公司 通过纯化学手段实现非晶碳硬掩模膜的沉积速率增强

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JP2005354109A (ja) * 1999-03-26 2005-12-22 Japan Science & Technology Agency n型ダイヤモンドを用いた半導体デバイス
JP2008141009A (ja) * 2006-12-01 2008-06-19 Tokyo Electron Ltd アモルファスカーボン膜、半導体装置、成膜方法、成膜装置及び記憶媒体
US20100197558A1 (en) 2009-01-30 2010-08-05 Ecolab USA Development of an aluminum hydroxycarboxylate builder
US20120121764A1 (en) 2010-11-15 2012-05-17 Conair Corporation Brewed beverage appliance and method
JP2013526783A (ja) * 2010-05-20 2013-06-24 アプライド マテリアルズ インコーポレイテッド 超高選択性アッシング除去可能ハードマスク膜
WO2013182299A1 (de) 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel

Family Cites Families (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) * 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
CN102001616A (zh) * 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
KR101684870B1 (ko) 2010-10-14 2016-12-09 닛산 가가쿠 고교 가부시키 가이샤 단분자층 또는 다분자층 형성용 조성물
US8541311B2 (en) * 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
EP2604547A1 (en) * 2011-12-12 2013-06-19 Nestec S.A. A capsule for descaling a beverage preparation machine
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JP2005354109A (ja) * 1999-03-26 2005-12-22 Japan Science & Technology Agency n型ダイヤモンドを用いた半導体デバイス
JP2008141009A (ja) * 2006-12-01 2008-06-19 Tokyo Electron Ltd アモルファスカーボン膜、半導体装置、成膜方法、成膜装置及び記憶媒体
US20100197558A1 (en) 2009-01-30 2010-08-05 Ecolab USA Development of an aluminum hydroxycarboxylate builder
JP2013526783A (ja) * 2010-05-20 2013-06-24 アプライド マテリアルズ インコーポレイテッド 超高選択性アッシング除去可能ハードマスク膜
US20120121764A1 (en) 2010-11-15 2012-05-17 Conair Corporation Brewed beverage appliance and method
WO2013182299A1 (de) 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel

Also Published As

Publication number Publication date
CN104517815B (zh) 2017-08-22
TWI644361B (zh) 2018-12-11
JP2015070270A (ja) 2015-04-13
CN104517815A (zh) 2015-04-15
JP6689565B2 (ja) 2020-04-28
KR20150037641A (ko) 2015-04-08
KR102525779B1 (ko) 2023-04-25
US20150093915A1 (en) 2015-04-02
US9320387B2 (en) 2016-04-26
KR20220035900A (ko) 2022-03-22
US20150090300A1 (en) 2015-04-02
TW201528374A (zh) 2015-07-16

Similar Documents

Publication Publication Date Title
KR102525779B1 (ko) 황 도핑된 탄소 하드마스크들
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
JP2015070270A5 (ko)
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
TWI716421B (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
CN108140545A (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
KR20100135243A (ko) 개선된 밀도와 도포율을 갖는 비정질 탄소의 증착 방법
KR20210076997A (ko) 3d nand 집적에 대해 개선된 에칭 선택도를 가지는 나이트라이드 막들
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
KR20230121962A (ko) 넓은 갭 전극 간격을 갖는 저압 조건들에서 고 선택도, 저 응력 및 저 수소 탄소 하드 마스크들

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant