JP6689565B2 - 硫黄ドープ炭素ハードマスク - Google Patents

硫黄ドープ炭素ハードマスク Download PDF

Info

Publication number
JP6689565B2
JP6689565B2 JP2014193209A JP2014193209A JP6689565B2 JP 6689565 B2 JP6689565 B2 JP 6689565B2 JP 2014193209 A JP2014193209 A JP 2014193209A JP 2014193209 A JP2014193209 A JP 2014193209A JP 6689565 B2 JP6689565 B2 JP 6689565B2
Authority
JP
Japan
Prior art keywords
sulfur
doped
carbon
source
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014193209A
Other languages
English (en)
Other versions
JP2015070270A5 (ja
JP2015070270A (ja
Inventor
シリッシュ・ケー.レディ
アリス・ジー.ホリスター
トルステン・リル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015070270A publication Critical patent/JP2015070270A/ja
Publication of JP2015070270A5 publication Critical patent/JP2015070270A5/ja
Application granted granted Critical
Publication of JP6689565B2 publication Critical patent/JP6689565B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J31/00Apparatus for making beverages
    • A47J31/44Parts or details or accessories of beverage-making apparatus
    • A47J31/60Cleaning devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D65/00Wrappers or flexible covers; Packaging materials of special type or form
    • B65D65/38Packaging materials of special type or form
    • B65D65/46Applications of disintegrable, dissolvable or edible materials
    • B65D65/466Bio- or photodegradable packaging materials
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • C11D17/046Insoluble free body dispenser
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/12Carbonates bicarbonates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J2203/00Devices having filling level indicating means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Food Science & Technology (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Apparatus For Making Beverages (AREA)

Description

メモリおよび論理デバイス製造を含めた半導体処理でのハードマスクおよびエッチングストップ層として、アモルファス炭素被膜が使用されることがある。これらの被膜は、アッシング技法によって除去することができるので、アッシャブルハードマスク(AHM)としても知られている。リソグラフィでのアスペクト比が増加するにつれて、AHMは、より高いエッチング選択性を必要とする。プラズマ化学気相成長(PECVD)プロセスを使用して高い選択性のAHMを形成する現在の方法は、高い応力を有するAHMを生じ、ハードマスクとしてのAHMの有用性を制限する。したがって、高いエッチング選択性を有するが、低い応力を有するAHMを形成することが望ましい。
メモリおよび論理デバイス製造を含む半導体処理で使用するためにエッチング選択性を高めるアッシャブルハードマスク(AHM)を堆積する新規の方法が提供される。様々な実施形態において、これらの方法は、半導体基板上のエッチング対象の第1の層に、炭素源および硫黄源からの前駆体ガスを提供するステップと、前駆体ガスからプラズマを発生して、プラズマ化学気相成長(PECVD)によって第1の層上に硫黄ドープAHMを堆積するステップとを含む。AHMを上に堆積することができる層の例としては、酸化物や窒化物などの誘電体層、およびポリシリコン層が挙げられる。炭素源の例は、メタン(CH)、アセチレン(C)、およびプロピレン(C)を含む。硫黄源の例としては、硫化水素(HS)および二硫化炭素(CS)が挙げられる。様々な実施形態において、炭素源と硫黄源を堆積チャンバの上流で混合することも、別々に堆積チャンバに提供することもできる。特定の実施形態では、硫黄ドープAHMは、約0.5%〜約5%の間の原子硫黄含有量を有することがある。特定の実施形態では、硫黄ドープAHMは、約60%〜約90%の間の原子炭素含有量を有することがある。特定の実施形態では、硫黄ドープAHMは、約13%〜約26%の間の原子水素含有量を有することがある。幾つかの実施形態では、硫黄ドープAHMは、パターン形成することができる。次いで、パターン形成されたAHMに従って第1の層をエッチングすることができる。幾つかの実施形態では、硫黄ドープAHMは、約1000Å〜約90000Åの間の厚さでよい。様々な実施形態において、硫黄ドープAHMは、約−40MPa〜約−400MPaの間の応力を有する。
別の態様は、半導体基板上に硫黄ドープ炭素ベース被膜を形成する方法に関する。半導体基板が堆積チャンバ内に提供されることがある。様々な実施形態において、半導体基板は、堆積チャンバ内で、炭素源および硫黄源を含む前駆体ガスに曝露され、PECVDによって基板上に硫黄ドープ炭素ベース被膜が堆積される。特定の実施形態では、硫黄ドープ炭素ベース被膜は、約0.5%〜約5%の間の原子硫黄含有量を有することがある。特定の実施形態では、硫黄ドープ炭素ベース被膜は、約0.5%〜約3.5%の間の原子硫黄含有量を有することがある。様々な実施形態において、硫黄源は、硫化水素または二硫化炭素でよい。
別の態様は、半導体基板を処理するように構成された装置に関する。様々な実施形態によれば、装置は、堆積チャンバを含み、堆積チャンバが、シャワーヘッドと、堆積チャンバにパワーを印加するように構成されたプラズマ発生器と、基板支持体と、1つまたは複数のガス入口と、制御装置とを備え、制御装置が、装置における動作を制御するように構成され、機械可読命令を含み、機械可読命令が、半導体基板を収容する堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを流し、プラズマ発生器にパワーを印加して、前駆体ガスからプラズマを発生し、PECVDによって基板上に硫黄ドープアッシャブルハードマスクを堆積するためのものである。
本発明は、以下の適用例としても実現可能である。
半導体基板上のエッチング対象の第1の層の上にアッシャブルハードマスクを形成する方法であって、
前記半導体基板を収容する堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを提供し、
前記前駆体ガスからプラズマを発生し、それにより、プラズマ化学気相成長(PECVD)プロセスによって前記第1の層上に硫黄ドープアッシャブルハードマスクを堆積する
方法。
[適用例2]
前記堆積された硫黄ドープアッシャブルハードマスクが、約0.5%〜約5%の間の原子硫黄含有量を有する適用例1に記載の方法。
[適用例3]
前記堆積された硫黄ドープアッシャブルハードマスクが、約60%〜約90%の間の原子炭素含有量を有する適用例2に記載の方法。
[適用例4]
前記堆積された硫黄ドープアッシャブルハードマスクが、約13%〜約26%の間の原子水素含有量を有する適用例2に記載の方法。
[適用例5]
前記硫黄ドープアッシャブルハードマスクが、約1000Å〜約90000Åの間の厚さである適用例1から4のいずれか一項に記載の方法。
[適用例6]
前記硫黄ドープアッシャブルハードマスクが、約−40MPa〜約−4000MPaの間の応力を有する適用例1から5のいずれか一項に記載の方法。
[適用例7]
前記第1の層が、酸化物層、窒化物層、またはポリシリコン層からなる群から選択される適用例1から6のいずれか一項に記載の方法。
[適用例8]
前記炭素源が、メタン、アセチレン、またはプロピレンである適用例1から7のいずれか一項に記載の方法。
[適用例9]
前記硫黄源が、硫化水素(HS)または二硫化炭素(CS)である適用例1から8のいずれか一項に記載の方法。
[適用例10]
前記硫黄源が、二硫化炭素(CS)であり、前記炭素源が、アセチレン(C)である適用例1から9のいずれか一項に記載の方法。
[適用例11]
前記炭素源と前記硫黄源が、前記堆積チャンバの上流で混合される適用例1から10のいずれか一項に記載の方法。
[適用例12]
前記炭素源と前記硫黄源が、前記堆積チャンバに別々に提供される適用例1から10のいずれか一項に記載の方法。
[適用例13]
更に、前記アッシャブルハードマスク層をパターン形成する、適用例1から12のいずれか一項に記載の方法。
[適用例14]
更に、前記アッシャブルハードマスクのパターンに従って前記第1の層をエッチングする適用例13に記載の方法。
[適用例15]
半導体基板上に硫黄ドープアモルファス炭素ベース被膜を形成する方法であって、
堆積チャンバ内に前記半導体基板を提供し、
炭素源および硫黄源を含む前駆体ガスに前記基板を曝露し、
プラズマ化学気相成長(PECVD)プロセスによって前記基板上に硫黄ドープアモルファス炭素ベース被膜を堆積する
方法。
[適用例16]
前記硫黄ドープアモルファス炭素ベース被膜が、約0.5%〜約5%の間の原子硫黄含有量を有する適用例15に記載の方法。
[適用例17]
前記硫黄源が、硫化水素(HS)または二硫化炭素(CS)である適用例15または16に記載の方法。
[適用例18]
半導体基板を処理するように構成された装置であって、
(a)堆積チャンバと、(b)装置における動作を制御するための制御装置とを備え、
(a)前記堆積チャンバが、
シャワーヘッドと、
プラズマ発生器と、
基板支持体と、
1つまたは複数のガス入口と
を備え、
(b)制御装置が、
前記半導体基板を収容する前記堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを流す命令と、
前記プラズマ発生器にパワーを印加し、前記前駆体ガスからプラズマを発生し、それにより、プラズマ化学気相成長(PECVD)プロセスによって前記基板上に硫黄ドープアッシャブルハードマスクを堆積する命令とを
機械による読み取り可能に備える
装置。
[適用例19]
半導体基板上のエッチング対象の第1の層の上にアッシャブルハードマスクを形成する方法であって、
前記半導体基板を収容する堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを提供し、
前記前駆体ガスからプラズマを発生し、それにより、プラズマ化学気相成長(PECVD)プロセスによって前記第1の層上に硫黄ドープアッシャブルハードマスクを堆積し、
前記堆積された硫黄ドープアッシャブルハードマスクが、約0.5%〜約5%の間の原子硫黄含有量を有する、
方法。
[適用例20]
半導体基板上に硫黄ドープアモルファス炭素ベース被膜を形成する方法であって、
堆積チャンバ内に前記半導体基板を提供し、
炭素源および硫黄源を含む前駆体ガスに前記半導体基板を曝露し、
プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上に前記硫黄ドープアモルファス炭素ベース被膜を堆積し、
前記炭素源と前記硫黄源が、前記堆積チャンバの上流で混合される、
方法。
[適用例21]
半導体基板上に硫黄ドープアモルファス炭素ベース被膜を形成する方法であって、
堆積チャンバ内に前記半導体基板を提供し、
炭素源および硫黄源を含む前駆体ガスに前記半導体基板を曝露し、
プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上に前記硫黄ドープアモルファス炭素ベース被膜を堆積し、
前記硫黄ドープアモルファス炭素ベース被膜が、約0.5%〜約5%の間の原子硫黄含有量を有する、
方法。
これらおよび他の態様を、図面を参照してさらに以下に述べる。
様々な実施形態による、エッチング操作でアッシャブルハードマスクを使用する方法に関連する操作を示すプロセス流れ図である。
様々な実施形態による、硫黄ドープアッシャブルハードマスクを形成する方法に関連する操作を示すプロセス流れ図である。
様々な実施形態を実施するのに適したプラズマ化学気相成長(PECVD)チャンバの一例の概略図である。
以下の説明では、本明細書で提示する実施形態を完全に理解できるように、幾つかの特定の詳細を述べる。本明細書で開示する実施形態は、これらの特定の詳細の幾つかまたは全てを伴わなくても実施することができる。なお、本明細書で開示する実施形態を不要に曖昧にしないように、周知のプロセス操作については詳細には述べていない。本明細書で開示する実施形態を特定の実施形態に関連付けて述べるが、本明細書で開示する実施形態を限定することは意図されていないことを理解されたい。
半導体処理において、基板をパターン形成してエッチングするために、マスキング法が使用されることがある。基板のアスペクト比が増加するにつれて、高い選択性のハードマスクが求められる。高いエッチング選択性を有し、それでも基板を損傷することなく容易に除去することができるマスクが、基板の処理に重要である。
アッシャブルハードマスク(AHM)は、エッチングストップ層でのマスクとして、または選択性エッチング中に、またはフォトレジストが下層をマスクするのに十分には厚くないことがある場合に使用することができる。また、AHMは、ディスプレイおよび他の技術のためのガラス基板上で使用することもできる。
AHMは、それらが役割を果たした後にアッシング(またはプラズマアッシングもしくはドライストリッピング)と呼ばれる技法によって除去できるようにする化学組成を有する。アッシング対象のハードマスクを有する基板が真空下でチャンバ内に配置され、プラズマからの一原子酸素がハードマスクと反応し、ハードマスクを酸化して、水、一酸化炭素、および二酸化炭素にする。プラズマは、チャンバ内で生成することができ、または酸素を無線周波源に曝すことによって遠隔で生成することができる。幾つかの例では、例えば、アッシャブルハードマスクが、アッシングだけでは除去することができない残渣を残すとき、アッシング後にさらにウェットまたはドライエッチングプロセスを行うことによって、ハードマスクの完全な除去を達成することができる。
AHMは、一般に、炭素と水素、および任意選択で微量の1種または複数種のドーパント(例えば、窒素、フッ素、ホウ素、およびケイ素)から構成されることがある。AHMの結合構造は、堆積条件に応じて、sp(グラファイト構造)、sp(ダイヤモンド構造)、またはそれらの組合せとなり得る。
図1は、エッチング操作においてハードマスクとしてAHMを使用する方法に関連する操作を示すプロセス流れ図である。AHMを堆積する前に、堆積チャンバ内に、エッチング対象の層を有する基板を準備することができる。以下の説明では半導体基板に言及するが、ガラス基板など他のタイプの基板上の層にもこれらの方法を適用することができる。AHMでマスクすることができる材料の例としては、酸化物(例えばSiO)や窒化物(例えばSiNおよびTiN)などの誘電体材料、ポリシリコン(Poly−Si)、ならびにアルミニウム(Al)、銅(Cu)、タングステン(W)などの金属が挙げられる。特定の実施形態では、本明細書で述べるAHMを使用して、酸化物、窒化物、またはポリシリコン層をパターン形成することができる。
操作102で、プラズマ化学気相成長(PECVD)によって、エッチング対象の層上にAHMが堆積される。PECVDは、堆積チャンバ内でプラズマを発生させることを含むこともある。単一無線周波(RF)プラズマ源、または高周波(HF)成分と低周波(LF)成分を含むデュアルRFプラズマ源を使用することができる。幾つかのプロセスでは、1つまたは複数のAHM層を堆積することができる。
操作104で、所望のエッチングパターンに従って、フォトレジスト層が堆積され、露光され、現像される。幾つかの実装形態では、フォトレジストを堆積する前に、AHM上に反射防止層(ARL)が堆積されることがある。
操作106で、AHMの露出部分をエッチングすることによってAHMが開かれる。AHMの開放は、操作110を参照して以下に述べるように、酸素ベースのドライエッチングによって行うことができる。
次に、操作108で、パターンを基板層に転写するために、基板層が選択的にエッチングされる。選択性エッチングは、AHM壁を実質的に減ずることなく基板層がエッチングされるように行うことができる。エッチングの例としては、ラジカルベースおよび/またはイオンベースのエッチングを挙げることができる。エッチング化学物質の例としては、ハロゲンベースのエッチング化学物質、例えばフッ素含有および塩素含有エッチング化学物質を挙げることができる。例えば、酸化物層を選択的にエッチングするために、フルオロカーボン含有プロセスガスから発生される容量結合型プラズマが使用されることがある。プロセスガスの特定の例としては、任意選択で酸素(O)および不活性ガスを含むC含有プロセスガス、例えばC/CH/O/Arが挙げられる。様々な実施形態によれば、エッチングプロセスは、直接(インサイチュ)プラズマを使用することも、遠隔プラズマを使用することもある。
最後に、操作110で、アッシング、プラズマアッシング、またはドライストリッピングによってAHMを除去することができる。真空下でチャンバ内に酸素(O)が導入されることがあり、それにより、プラズマがAHMと反応し、AHMを酸化して、水(HO)、一酸化炭素(CO)、および二酸化炭素(CO)にする。ドーパントが使用される場合、プラズマは、ドープAHMと反応し、酸化させることがある。任意選択で、アッシング後のウェットまたはドライエッチングプロセスによって、残ったAHM残渣を除去することもできる。結果として、望ましいパターン形成基板層が得られる。
高いアスペクト比のパターン形成は、高いエッチング選択性を有するAHMを使用することがある。エッチング選択性は、AHM層と下層とのエッチングレートを比較することによって決定することができる。AHMがより低い水素含有量を有する場合、その耐久性が高められることがあり、エッチング選択性が高まることがある。AHMエッチングレートが低下する場合、エッチング選択性が高まることがある。低い水素含有量およびより低いエッチングレートを有するAHMが、高いエッチング選択性を生じることがある。
現在、既知の高い選択性のAHMは高い水素含有量を有し、それにより、効果的なAHMの使用を制限している。現在の方法は、PECVDによって、炭化水素など炭素ベースの前駆体ガスを導入することによって炭素ベースのAHMを形成する。しかし、連続的なイオン衝突は、AHM内に余剰の非結合水素原子も導入し、原子量の大きなイオンの強いイオン衝突を生じる。これは、堆積されるAHMの応力を高める。高応力のAHMは崩壊しやすいまたは押し潰れやすいので、これはAHMの適用を制限する。また、より高い応力レベルが、アライメントをより難しくする。ケイ素、ホウ素、ゲルマニウム、窒素などのドーパントを使用して、AHMの水素含有量を減少させて、エッチング選択性を高めることができる。しかし、より高いアスペクト比のリソグラフィは、しばしば、現在のドープAHMが提供するよりも高いエッチング選択性を有するAHMを必要とする。
様々な実施形態によれば、本明細書では、より高い選択性を有するAHMを形成する方法が提供される。これらの方法は、低い水素含有量を有する硫黄ドープAHMを形成し、このAHMは、エッチングレートを低下させ、かつ除去が容易であり、したがって、効果的な半導体処理のための高い選択性のハードマスクが得られる。
図2は、様々な実施形態による硫黄ドープAHMを形成する方法に関連する操作を示すプロセス流れ図を示す。まず、操作202では、チャンバ内で基板上のエッチング対象の第1の層が準備される。基板および基板層の例は、図1の操作102を参照して上述した。図1には、ハードマスクとしてAHMを使用する組込み方法が示されている。幾つかの他の実施形態では、本明細書で述べるAHMは、ハードマスクとしての使用に加えて、またはハードマスクとしての使用の代わりに、エッチングストップ層として使用することもできる。
次いで、操作204で、第1の層が、炭素源および硫黄源を含む前駆体ガスに曝露される。様々な実施形態において、炭素源は、組成式Cによって定義される炭化水素前駆体でよく、ここで、Xは2〜10の整数であり、Yは2〜24の整数である。例として、メタン(CH)、アセチレン(C)、エチレン(C)、プロピレン(C)、ブタン(C10)、シクロヘキサン(C12)、ベンゼン(C)、およびトルエン(C)が挙げられる。幾つかの実施形態では、2種以上の炭化水素前駆体が導入されることがある。
様々な実施形態において、硫黄源は、硫化水素(HS)、二硫化炭素(CS)、二酸化硫黄(SO)、六フッ化硫黄(SF)、または硫化カルボニル(COS)でよい。他の硫黄源としては、メタンチオール、エタンチオール、およびエタンジチオールが挙げられる。硫黄源と炭素源を予混合することもできる。幾つかの実施形態では、硫黄源と炭素源を別々にチャンバに提供することができる。
炭化水素および硫黄ベースの前駆体に加えて、キャリアガスを使用して、前駆体ガスの流れを希釈することができる。キャリアガスは、ヘリウム(He)、アルゴン(Ar)、窒素(N)、水素(H)、またはこれらの任意のガスの組合せを含む任意の適切なキャリアガスでよい。
堆積チャンバ内の全圧は、約1Torr〜約20Torrの間の範囲内、または約1.8Torrでよい。幾つかの実施形態では、圧力は、約1Torr〜約10Torrの間でよい。幾つかの実施形態では、参照により本明細書に援用する米国特許第7,981,777号および第7,981,810号で論じられているように、炭化水素前駆体は、例えば約0.01Torr〜約4Torrの間の低い分圧で導入することができる。特定の実施形態では、この分圧は、約0.2Torr以下でよい。
前駆体ガス流量は、特定の堆積チャンバおよび基板による。300mmの基板に関して使用される流量の例は、約200sccm〜約4000sccmの間のアセチレン、約1000sccm〜約20000sccmの間の水素、および約0sccm〜約20000sccmの間のヘリウムである。幾つかの実施形態では、窒素の流量は、約0sccm〜約3000sccmの間でよい。硫黄源の流量の例は、約50sccm〜約400sccmの間の二硫化炭素でよい。任意選択で、前駆体ガスは、連続またはパルスで流すこともできる。炭素源と硫黄源は、同期してパルスしても、非同期でパルスしてもよい。硫黄源のパルス周波数は、約0.05Hz〜約1Hzの間でよい。炭素源のパルス周波数は、約0.05Hz〜約1Hzの間でよい。
本明細書で述べる方法は、所望のAHM特性を得るために任意の適切なプロセス温度で使用することができ、例としては、約50℃〜約550℃の範囲である。プロセス温度は、少なくとも一部はsp結合とsp結合の形成により、選択性および透過性に影響を及ぼすことがある。より高い温度は、C−H結合の容易な破壊およびその後の水素の拡散を可能にするので、spが多いアモルファス炭素ネットワークを形成しやすい。例えば、約500℃を超える温度で堆積された被膜は、sp結合に比べてかなり多くのCHおよびCHのsp結合を有し、より高い炭素含有量およびより高い密度を有し、これは、エッチング選択性の向上と相関する。しかし、sp炭素の光学的バンドギャップがより低いので、これらの被膜は、厚いハードマスクの塗布には適していないことがある。例えば、2kÅ以上では、これらの被膜は、マスクアライメントのために十分に透明でないことがある。上で参照した米国特許第7,981,810号は、より低い温度および/または希釈炭化水素前駆体の流れで、選択性および透明性のあるAHMを堆積するためのプロセス条件を提供する。例えば約400℃未満のより低い温度で堆積されるAHMは、より高い温度で堆積されるAHMとは異なる量のsp結合を有することがある。
次に、図2に戻ると、操作206で、プラズマ源を使用してプラズマが点火される。幾つかの実施形態では、単一周波RFプラズマ源を使用して、容量結合型プラズマを発生させることができる。RF源の周波数は、例えば、約13.56MHzでよい。様々な実施形態において、プラズマ源は、HF RF成分とLF RF成分を含むデュアルRFプラズマ源でよい。特定の実施形態では、それらの実施形態の方法は、高エネルギーイオン衝突を生成するためにLF RFパワーを使用する。低周波RFパワーは、100kHz〜2MHzの間の周波数、例えば430kHzを有するRFパワーを意味する。堆積中、幾つかの実施形態では、LFパワーは、約0.001W/cm〜約0.5W/cm、または約0.14W/cm〜約0.35W/cmの範囲内であり、ここで、W/cmは、1平方センチメートルの基板表面積当たりのワット数を表す。高周波RFパワーは、2MHz〜60MHzの間の周波数、例えば約13.56MHzの周波数を有するRFパワーを意味する。堆積中、幾つかの実施形態では、HFパワーは、約0.001W/cm〜約0.2W/cmの範囲内、または約0.14W/cmである。
任意選択で、RFパワーは、約2Hz〜約200Hzの間の周波数でパルスさせることができる。パルスまたは連続のRFパワーまたは硫黄流は、少なくとも4通りで使用することができる。第1に、RFパワーを連続的にし、硫黄流も連続的にすることができる。第2に、RFパワーをパルスさせ、硫黄流は連続的にすることができる。第3に、RFパワーを連続的にし、硫黄流をパルスさせることができる。第4に、RFパワーと硫黄流をどちらもパルスさせることができる。
以下に述べる図3には、PECVD堆積用の容量結合型プラズマを発生させるためのRFプラズマ源を示し、本説明でも主にRFプラズマ源に言及するが、直流(DC)およびマイクロ波源を含めた他のタイプの源を使用することもできる。
次に、図2を参照すると、操作208で、PECVDによって、第1の層上に硫黄ドープAHMが堆積される。特定の実施形態では、硫黄ドープAHM中の原子水素含有量は、約13%〜約26%の間でよく、原子硫黄含有量は、約0.5%〜約5%の間、約0.5%〜約3.5%の間、または約2%でよい。硫黄ドープAHMの炭素含有量は、約60%〜約90%の間でよい。幾つかの実施形態では、第1の層は、酸化物/ポリシリコン積層である。幾つかの実施形態では、第1の層は、酸化物/窒化物積層である。幾つかの実施形態では、硫黄ドープAHMは、約1未満、または約0.1〜約0.7の間の弾性率対応力比を有することがある。幾つかの実施形態では、硫黄ドープAHMの弾性率は、約30GPa〜約50GPaの間でよく、応力は、約−400MPa〜約−50MPaの間でよい。ある一定の応力に関して、ドーピングの増加と共にエッチングレートが低下する(エッチング選択性が高まる)。
本明細書で開示する実施形態はいかなる特定の理論にも拘束されないが、硫黄ベースの前駆体ガスの使用は、(sp結合に比べて)配位数がより小さいのでエッチングレートがより高くなりやすいsp共有結合を生成することがあるものの、sp結合の構造は、ネットワーク内の硫黄原子と炭素原子の体積を増加することがあり、それによりAHMの強度を高め、選択性を高めると考えられる。
また、硫黄源が、硫黄原子と炭素および水素原子との間のポテンシャルイオン力を生成することがあり、これは、より安定な、またはより低い応力の、またはその両方の固体を形成すると考えられる。しかし、HSなど水素を含む硫黄源は、堆積中の水素イオンまたはラジカル衝突の量を増加させ、AHMの応力を増加させる恐れがある。
本明細書で開示する実施形態はいかなる特定の理論にも拘束されないが、硫黄ドープAHMが、エッチングプロセスの遅延因子として働き、エッチング中にガス流に対するAHMの耐性を高め、その際、エッチング化学物質が、炭素ではなくAHM中の硫黄と相互作用すると考えられる。したがって、硫黄ドープAHMは、AHMのマスキング強度を高め、エッチング選択性を高める。
したがって、硫黄ドープAHMのエッチング選択性の向上は、結合の形成および構造、原子間の共有結合およびイオン力の強さ、ならびにエッチング遅延特性に起因することがあると考えられる。
上の図1に関連してAHMを使用する別の実施形態では、方法100は、操作104でフォトレジスト層が堆積されて現像される前に、操作102で、第1の層上への硫黄ドープ炭素ベースAHMまたは硫黄ドープアモルファス炭素被膜の堆積を行うことができる。操作106で硫黄ドープ炭素ベース被膜をエッチングした後、操作108で第1の層が選択的にエッチングされる。最後に、プラズマアッシングを使用して、硫黄ドープ炭素ベース被膜を除去し、望ましいパターン形成された第1の層を形成することができる。
様々な実施形態において、硫黄ドープ炭素ベース層を形成するために使用されるドーパントは、硫化水素(HS)または二硫化炭素(CS)でよい。本明細書で開示する実施形態はいかなる特定の理論にも拘束されないが、プラズマアッシングは、除去のために硫黄が酸素ベースプラズマとよく反応することができるので、硫黄ドープAHMを効果的に除去すると考えられる。
装置
実施形態は、マルチステーション、またはマルチチャンバ、または単一ステーションツールで実施することができる。様々な実施形態が、PECVDリアクタ(例えば、Lam Research Corporationから市販されているSequel(商標)またはVector(商標)リアクタチャンバ)など既存の半導体処理機器に適合し得る。一般に、装置は、1つまたは複数のチャンバまたはリアクタを収容し、各チャンバまたはリアクタが、1つまたは複数のステーションを含む。基板処理に適したチャンバは、1つまたは複数の基板を収容することがある。1つまたは複数のチャンバは、回転、振動、または他の擾乱を防止することによって、所定の位置で基板を維持する。幾つかの実施形態では、硫黄ドープAHMの堆積を施される基板は、堆積中にチャンバ内部で1つのステーションから別のステーションに移送されることがある。例えば、様々な実施形態によれば、1つのステーションで2000Åの硫黄ドープAHMが完全に堆積されることがあり、または4つのステーションでそれぞれ500Åの被膜が堆積されることがある。代替として、任意の数のステーションで、被膜全厚の任意の他の割合が堆積されることがある。複数のAHMが堆積される様々な実施形態では、各AHM層を堆積するために複数のステーションが使用されることもある。処理中、各基板は、ペデスタル、ウェハチャック、および/または他の基板保持装置によって所定位置に保持される。基板が加熱される特定の操作のために、装置は、加熱プレートなどの加熱器を有することがある。
図3は、様々な実施形態を実施するのに適したPECVDリアクタの一例の概略図を示す。図示されるように、リアクタ300は、チャンバ324を収容し、チャンバ324は、マルチステーションツールのステーション、またはマルチチャンバ・マルチステーションツールのチャンバでよい。チャンバ324は、他のリアクタ構成要素を閉じ込め、プラズマは、接地された加熱器ブロック320と協働するコンデンサタイプのシステムおよびシャワーヘッド314によって発生される。LF RF発生器302とHF RF発生器304をマッチングネットワーク306に接続することができ、マッチングネットワーク306は、さらにシャワーヘッド314に接続される。幾つかの実施形態では、単一のRF発生器(図示せず)が使用されることもある。プロセスガスからプラズマを発生させるのに十分なパワーおよび周波数を、マッチングネットワーク306によって供給することができる。
リアクタ内部で、基板支持体318が基板316を保持する。ペデスタルは、典型的には、チャック、フォーク、またはリフトピンを有し、堆積および/またはプラズマ反応中に基板を保持し、堆積やプラズマ反応の合間に基板を移送する。チャックは、静電チャック、機械的チャック、または様々な他のタイプのチャックでよい。
プロセスガスは、入口312を通して導入される。複数のソースガスライン310が、マニホルド308に接続される。ガスは、任意選択で予混合することができる。適切な弁機構および質量流量制御メカニズムを採用して、プロセス中に適正なガスが送達されることを保証することができる。化学前駆体が液状で送達される場合には、液体流量制御メカニズムを採用することができる。次いで、液体は、堆積チャンバに達する前に、その蒸発点よりも高い温度に加熱されたマニホルド内での輸送中に気化されて、他のプロセスガスと混合される。
プロセスガスは、出口322を通ってチャンバ324から出る。真空ポンプ326(例えば、1段階または2段階式の機械的ドライポンプ、またはターボ分子ポンプ)が、プロセスガスを引き出し、絞り弁や振り子弁など閉ループの制御式流れ制限デバイスによって、リアクタ内部で適切に低い圧力を維持する。様々な実施形態において、チャンバ内のプラズマの密度は、約1014〜約1016イオン/mでよい。
また、リアクタ300は、リアクタ300のプロセス条件およびハードウェア状態を制御するために採用されるシステム制御装置328の一実施形態を含む。例えば、幾つかの実施形態では、システム制御装置328は、炭素源および硫黄源からの前駆体ガス流やLF RFパワーおよびHF RFパワーレベルなど、PECVD被膜堆積段階中の1つまたは複数のプロセスパラメータを制御して、所望の硫黄ドープAHM被膜を実現することができる。
幾つかの実施形態では、(1つまたは複数の物理的または論理的制御装置を含むことがある)システム制御装置328が、プロセスツールの動作の幾つかまたは全てを制御する。適切な制御操作を実施するための命令が処理装置で実行される。これらの命令は、システム制御装置328に関連付けられるメモリデバイスに記憶されていることも、ネットワークを介して提供されることもある。特定の実施形態では、システム制御装置328は、システム制御ソフトウェアを実行する。
例えば、システム制御装置328は、適切なガス(例えば炭素源または硫黄源)の送達、装置外からの基板の受け入れ、および/またはマルチステーションチャンバの1つのステーションから次のステーションへの基板の移送を制御することができる。また、システム制御装置328は、堆積中に、温度、圧力、LF RFパワー、HF RFパワーなどを制御することもできる。
システム制御装置328は、1つまたは複数のメモリデバイス、1つまたは複数の大容量記憶デバイス、および1つまたは複数の処理装置を含むことがある。処理装置は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続端子、ステッパモータ制御装置ボードなどを含むことがある。
幾つかの実施形態では、システム制御装置328は、リアクタ300の活動全てを制御する。幾つかの実施形態では、システム制御装置328は、大容量記憶デバイスに記憶されており、メモリデバイスにロードされ、処理装置で実行される機械可読システム制御ソフトウェアを実行し、それにより、装置が本発明の実施形態による方法を実施する。代替として、制御論理は、システム制御装置328内にハードコード化されることもある。特定用途向け集積回路やプログラマブル論理デバイス(例えば、フィールドプログラマブルゲートアレイ(FPGA))などを、これらの目的で使用することができる。以下の論述では、「ソフトウェア」が使用されているときであれ、「コード」が使用されているときであれ、機能的に同等のハードコード化された論理をその代わりに使用することができる。
システム制御ソフトウェアは、タイミング、ガスの混合、プロセスガス(例えば硫黄源や炭素源など)の流量、チャンバおよび/またはステーションおよび/またはリアクタ圧力、チャンバおよび/またはステーションおよび/またはリアクタ温度、基板温度、ターゲットパワーレベル、RFパワーレベル、基板ペデスタル、チャックおよび/またはサセプタ位置、ならびにリアクタ300によって行われる特定のプロセスの他のパラメータを制御するための命令を含むことがある。システム制御ソフトウェアは、任意の適切な様式で構成することができる。例えば、様々なプロセスツールプロセスを実施するためのプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトが書かれることがある。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語で符号化することができる。
幾つかの実施形態では、システム制御ソフトウェアは、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含むことがある。例えば、製造プロセスの各段階は、システム制御装置328によって実行される1つまたは複数の命令を含むことがある。低応力の硫黄ドープAHMを堆積するための様々なプロセス条件を設定するための命令が含まれることがある。関連のプロセス条件の幾つかの例を本明細書で述べる。
幾つかの実施形態では、システム制御装置328に関連付けられる大容量記憶デバイスおよび/またはメモリデバイスに記憶されている他のコンピュータソフトウェアおよび/またはプログラムが採用されることがある。この目的でのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、加熱器制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、プロセスステーション基板支持体318上に基板を装填するため、および基板とリアクタ300の他の部分との間の間隔を制御するために使用されるプロセスツール構成要素のためのプログラムコードを含むことがある。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、および任意選択で、プロセスステーション内の圧力を安定させるために堆積前に1つまたは複数のプロセスステーション内にガスを流すためのコードを含むことがある。また、本明細書における実施形態によれば、プロセスガス制御プログラムは、硫黄源および/または炭素源からのガスをパルスするためのコードを含むこともある。圧力制御プログラムは、例えばプロセスステーションの排気システム内の絞り弁やプロセスステーション内へのガス流などを調整することによって、プロセスステーション内の圧力を制御するためのコードを含むことがある。
加熱器制御プログラムは、基板および/または処理チャンバを加熱するために使用される1つまたは複数の加熱ユニットへの電流を制御するためのコードを含むことがある。代替として、加熱器制御プログラムは、基板への伝熱ガス(ヘリウムなど)の送達を制御することもある。
プラズマ制御プログラムは、1つまたは複数のプロセスステーション内のプロセス電極に印加されるRFパワーレベルを設定するためのコードを含むことがある。
幾つかの実施形態では、システム制御装置328に関連付けられるユーザインターフェースが存在することもある。ユーザインターフェースは、表示画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えばポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどを含むことがある。
幾つかの実施形態では、システム制御装置328によって調節されるパラメータは、プロセス条件に関係することがある。非限定的な例として、例えば炭素源や硫黄源のプロセスガス組成および流量、温度、圧力、プラズマ条件(RFバイアスパワーレベルなど)などが挙げられる。これらのパラメータは、ユーザインターフェースを利用して入力することができるレシピの形態でユーザに提供されることがある。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置328のアナログおよび/またはデジタル入力接続端子で提供されることがある。プロセスを制御するための信号は、リアクタ300のアナログおよびデジタル出力接続端子で出力することができる。監視することができるプロセスツールセンサの非限定的な例としては、質量流量制御装置、圧力センサ(例えば圧力計)、熱電対などが挙げられる。これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用して、プロセス条件を維持することができる。
システム制御装置328は、上述した堆積プロセスを実施するためのプログラム命令を提供することができる。プログラム命令は、DCパワーレベル、RFバイアスパワーレベル、圧力、温度、処理ガスおよび/または熱および/または他のエネルギー源への曝露時間など、様々なプロセスパラメータを制御することができる。これらの命令は、本明細書で述べる様々な実施形態によれば、被膜積層のインサイチュ堆積およびさらなる処理(例えば、パターン形成、エッチング、および活性化)を操作するためにパラメータを制御することができる。
幾つかの実施形態では、高密度プラズマ(HDP)法および装置を使用して、硫黄ドープアモルファス炭素被膜が形成されることがある。HDP法は、一般に、反応空間の上流に位置された誘導結合型プラズマ発生器を使用する。幾つかの実施形態では、HDPプロセス中のプラズマの密度は、約1017〜約1019イオン/mでよい。幾つかの実施形態では、HDPによる堆積中の圧力は、約1mTorr〜約200mTorrの間でよい。
[実験例]
ある一定の応力レベルで硫黄ドープおよび非ドープ炭素ハードマスクのエッチングレートを測定するために、実験を行った。実験は、1.8Torrのプロセス圧力で行った。300mmの基板に対して、4ステーションツールに関して以下のプラズマパワーを与える。
400Wの高周波(HF)パワーと1000Wの低周波(LF)パワーでのデュアル無線周波(RF)プラズマを用いて2500sccmのアセチレン(C)を流すことによって、非ドープ炭素ハードマスクを製造した。
6つの硫黄ドープ炭素ハードマスクを製造した。まず、400WのHFパワーと1000WのLFパワーでのデュアルRFプラズマを用いて2500sccmのアセチレン(C)と50sccmの二硫化炭素(CS)を流すことによって、2%硫黄ドープ炭素ハードマスクを製造した。
400WのHFパワーと1000WのLFパワーでのデュアルRFプラズマを用いて625sccmのアセチレン(C)と、68sccmの二硫化炭素(CS)と、2750sccmの窒素(N)とを流すことによって、第2の2%硫黄ドープ炭素ハードマスクを製造した。
400WのHFパワーと1000WのLFパワーでのデュアルRFプラズマを用いて2500sccmのアセチレン(C)と、262sccmの二硫化炭素(CS)と、1250sccmのヘリウムと、1500sccmの窒素(N)とを流すことによって、第1の5%硫黄ドープ炭素ハードマスクを製造した。
400WのHFパワーと1000WのLFパワーでのデュアルRFプラズマを用いて625sccmのアセチレン(C)と、168sccmの二硫化炭素(CS)と、1250sccmのヘリウムと、1500sccmの窒素(N)とを流すことによって、第2の5%硫黄ドープ炭素ハードマスクを製造した。
400WのHFパワーと400WのLFパワーでのデュアルRFプラズマを用いて625sccmのアセチレン(C)と、168sccmの二硫化炭素(CS)と、1250sccmのヘリウムと、1500sccmの窒素(N)とを流すことによって、第3の5%硫黄ドープ炭素ハードマスクを製造した。
400WのHFパワーと400WのLFパワーでのデュアルRFプラズマを用いて625sccmのアセチレン(C)と、168sccmの二硫化炭素(CS)と、1250sccmのヘリウムと、1500sccmの窒素(N)とを流すことによって、第4の5%硫黄ドープ炭素ハードマスクを製造した。
つの積層全てに関して、応力および弾性率を測定した。各ハードマスクに関して、酸化物/窒化物/酸化物/窒化物(ONON)積層および酸化物/ポリシリコン/酸化物/ポリシリコン(OPOP)積層に対する相対エッチングレートを測定した。非ドープハードマスクに対するドープハードマスクのエッチングレートの改良を比較して示すために、相対エッチングレートを正規化した。以下の表1に結果をまとめる。
Figure 0006689565
全体として、2%および5%硫黄ドープAHMはいずれも、ONON積層とOPOP積層の両方に関して非ドープAHMよりも低いエッチングレートを示し、したがってより高いエッチング選択性を示した。ある一定の応力で、AHMに関して比較を行った。
ONONエッチングレートの比較は、−100Mpa〜0MPaの間の範囲内の応力レベルを有するハードマスクの間で行った。5%SドープAHM#1は、ONON積層に対して、2%SドープAHM#1よりも低いエッチングレートを有していた。これは、5%でのより高いドーピングを有するAHMがより高いエッチング選択性を有していたことを示唆する。同様に、−200MPa〜−100MPaの間の応力レベルを有するハードマスクに関して、#3および#4での5%SドープAHMは、ONON積層に対して、2%SドープAHM#1および非ドープAHMよりも低いエッチングレートを示した。また、5%SドープAHM#2は、ONON積層に対して、2%SドープAHMおよび非ドープAHMよりも低い相対エッチングレートを示した。
非ドープハードマスクとドープハードマスクの間でOPOPエッチングレートの比較を行った。表1の結果は、OPOPのエッチングに関して、SドープAHM(2%と5%のどちらも)が非ドープAHMよりも低い相対エッチングレートを有していたことを示す。
[結論]
理解しやすくするために上記の実施形態を幾分詳細に述べてきたが、添付の特許請求の範囲の範囲内で幾つかの変更および修正を行うことができることは明らかであろう。本発明の実施形態のプロセス、システム、および装置を実装する多くの代替法が存在することに留意すべきである。したがって、本発明の実施形態は例示であり、限定ではないものとみなされるべきであり、それらの実施形態は、本明細書で与えた詳細に限定されるべきではない。

Claims (17)

  1. 半導体基板上のエッチング対象の第1の層の上にアッシャブルハードマスクを形成する方法であって、
    前記半導体基板を収容する堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを提供し、
    前記前駆体ガスからプラズマを発生し、それにより、プラズマ化学気相成長(PECVD)プロセスによって前記第1の層上に硫黄ドープアッシャブルハードマスクを堆積し、
    前記堆積された硫黄ドープアッシャブルハードマスクが、約0.5%〜約5%の間の原子硫黄含有量を有する、
    方法。
  2. 前記堆積された硫黄ドープアッシャブルハードマスクが、約60%〜約90%の間の原子炭素含有量を有する請求項1に記載の方法。
  3. 記堆積された硫黄ドープアッシャブルハードマスクが、約13%〜約26%の間の原子水素含有量を有する請求項1に記載の方法。
  4. 前記硫黄ドープアッシャブルハードマスクが、約1000Å〜約90000Åの間の厚さである請求項1から3のいずれか一項に記載の方法。
  5. 前記硫黄ドープアッシャブルハードマスクが、約−40MPa〜約−400MPaの間の応力を有する請求項1から4のいずれか一項に記載の方法。
  6. 前記第1の層が、酸化物層、窒化物層、およびポリシリコン層からなる群から選択される請求項1から5のいずれか一項に記載の方法。
  7. 前記炭素源が、メタン、アセチレン、またはプロピレンである請求項1から6のいずれか一項に記載の方法。
  8. 前記硫黄源が、硫化水素(HS)または二硫化炭素(CS)である請求項1から7のいずれか一項に記載の方法。
  9. 前記硫黄源が、二硫化炭素(CS)であり、前記炭素源が、アセチレン(C)である請求項1から8のいずれか一項に記載の方法。
  10. 前記炭素源と前記硫黄源が、前記堆積チャンバの上流で混合される請求項1から9のいずれか一項に記載の方法。
  11. 前記炭素源と前記硫黄源が、前記堆積チャンバに別々に提供される請求項1から9のいずれか一項に記載の方法。
  12. 更に、前記硫黄ドープアッシャブルハードマスクをパターン形成する、請求項1から11のいずれか一項に記載の方法。
  13. 更に、前記硫黄ドープアッシャブルハードマスクのパターンに従って前記第1の層をエッチングする請求項12に記載の方法。
  14. 半導体基板上に硫黄ドープアモルファス炭素ベース被膜を形成する方法であって、
    堆積チャンバ内に前記半導体基板を提供し、
    炭素源および硫黄源を含む前駆体ガスに前記半導体基板を曝露し、
    プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上に前記硫黄ドープアモルファス炭素ベース被膜を堆積し、
    前記炭素源と前記硫黄源が、前記堆積チャンバの上流で混合される、
    方法。
  15. 半導体基板上に硫黄ドープアモルファス炭素ベース被膜を形成する方法であって、
    堆積チャンバ内に前記半導体基板を提供し、
    炭素源および硫黄源を含む前駆体ガスに前記半導体基板を曝露し、
    プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上に前記硫黄ドープアモルファス炭素ベース被膜を堆積し、
    前記硫黄ドープアモルファス炭素ベース被膜が、約0.5%〜約5%の間の原子硫黄含有量を有する、
    方法。
  16. 前記硫黄源が、硫化水素(HS)または二硫化炭素(CS)である請求項14または15に記載の方法。
  17. 半導体基板を処理するように構成された装置であって、
    (a)堆積チャンバと、(b)装置における動作を制御するための制御装置とを備え、
    (a)前記堆積チャンバが、
    シャワーヘッドと、
    プラズマ発生器と、
    基板支持体と、
    1つまたは複数のガス入口と
    を備え、
    (b)制御装置が、
    前記半導体基板を収容する前記堆積チャンバに、炭素源および硫黄源を含む前駆体ガスを流す命令と、
    前記プラズマ発生器にパワーを印加し、前記前駆体ガスからプラズマを発生し、それにより、プラズマ化学気相成長(PECVD)プロセスによって前記半導体基板上に硫黄ドープアッシャブルハードマスクを堆積する命令とを
    機械による読み取り可能に備える
    装置。
JP2014193209A 2013-09-30 2014-09-24 硫黄ドープ炭素ハードマスク Active JP6689565B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884800P 2013-09-30 2013-09-30
US61/884,800 2013-09-30
US14/270,001 2014-05-05
US14/270,001 US9320387B2 (en) 2013-09-30 2014-05-05 Sulfur doped carbon hard masks

Publications (3)

Publication Number Publication Date
JP2015070270A JP2015070270A (ja) 2015-04-13
JP2015070270A5 JP2015070270A5 (ja) 2015-05-21
JP6689565B2 true JP6689565B2 (ja) 2020-04-28

Family

ID=52738893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014193209A Active JP6689565B2 (ja) 2013-09-30 2014-09-24 硫黄ドープ炭素ハードマスク

Country Status (5)

Country Link
US (2) US9320387B2 (ja)
JP (1) JP6689565B2 (ja)
KR (2) KR102375870B1 (ja)
CN (1) CN104517815B (ja)
TW (1) TWI644361B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10045654B2 (en) 2014-02-14 2018-08-14 Coffee Solutions, Llc Moving inlet nozzles in beverage systems
US9948268B2 (en) 2015-02-09 2018-04-17 Samsung Electro-Mechanics Co., Ltd. Multiband antenna having external conductor and electronic device including the same
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US11638499B2 (en) 2020-05-27 2023-05-02 Adrian Rivera Beverage brewer spray apparatus having multiple dispersion members
US10702096B2 (en) * 2015-10-30 2020-07-07 Adrian Rivera Brewing chamber cleaner
AU2016350142B2 (en) * 2015-11-06 2022-06-02 Cafetto Asia Pte. Ltd. Cleaning arrangement for capsule based beverage dispensers
CN106706710A (zh) * 2015-11-11 2017-05-24 中国科学院上海微系统与信息技术研究所 基于硫掺杂石墨烯的氮氧化物气体传感器及其制备方法
DE102016100724A1 (de) * 2016-01-18 2017-07-20 Miele & Cie. Kg Getränkeautomat mit automatischer Reinigungsvorrichtung
US10043672B2 (en) * 2016-03-29 2018-08-07 Lam Research Corporation Selective self-aligned patterning of silicon germanium, germanium and type III/V materials using a sulfur-containing mask
US9622616B1 (en) 2016-03-30 2017-04-18 Eco 2, Llc Descaling pod for brewing machine
US20170280929A1 (en) * 2016-03-30 2017-10-05 Eco 2, Llc Descaling device for a beverage machine and method of descaling a beverage machine
US20230180963A1 (en) * 2016-06-23 2023-06-15 Adrian Rivera Brewing Machine Cleaner
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
BR112019008836A2 (pt) 2016-11-09 2019-07-09 Pepsico Inc conjuntos de fabricação de bebida carbonatada, métodos, e sistemas
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
EP3765378A1 (en) * 2018-03-15 2021-01-20 DSM IP Assets B.V. Bags-in-bag packaging system
KR102670420B1 (ko) * 2018-04-24 2024-05-28 어플라이드 머티어리얼스, 인코포레이티드 카본 하드-마스크의 플라즈마-강화 화학 기상 증착
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
TWI804638B (zh) 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
WO2021138006A1 (en) * 2019-12-31 2021-07-08 Mattson Technology, Inc. Systems and methods for removal of hardmask
US20230357921A1 (en) * 2020-09-29 2023-11-09 Lam Research Corporation Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5222549A (en) 1988-07-04 1993-06-29 Japan Oxygen Co., Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
CN1264432A (zh) 1997-06-16 2000-08-23 罗伯特·博施有限公司 基底的真空镀层方法和设备
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) * 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
JP4789035B2 (ja) * 1999-03-26 2011-10-05 独立行政法人科学技術振興機構 n型ダイヤモンドを用いた半導体デバイス
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
EP1685606A1 (en) 2003-11-13 2006-08-02 Philips Intellectual Property & Standards GmbH Electronic device comprising a protective barrier layer stack
WO2005069367A1 (ja) 2004-01-13 2005-07-28 Tokyo Electron Limited 半導体装置の製造方法および成膜システム
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
AU2010209328B2 (en) * 2009-01-30 2015-07-02 Ecolab Inc. Development of an aluminum hydroxycarboxylate builder
CN102001616A (zh) * 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
JP2013526061A (ja) 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP5835587B2 (ja) 2010-10-14 2015-12-24 日産化学工業株式会社 単分子層又は多分子層形成用組成物
US9155418B2 (en) * 2010-11-15 2015-10-13 Conair Corporation Brewed beverage appliance and method
US8541311B2 (en) * 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
EP2525416A2 (en) * 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
EP2604547A1 (en) * 2011-12-12 2013-06-19 Nestec S.A. A capsule for descaling a beverage preparation machine
DE102012011204A1 (de) * 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Also Published As

Publication number Publication date
KR102375870B1 (ko) 2022-03-16
CN104517815A (zh) 2015-04-15
KR20220035900A (ko) 2022-03-22
US20150090300A1 (en) 2015-04-02
US9320387B2 (en) 2016-04-26
CN104517815B (zh) 2017-08-22
TWI644361B (zh) 2018-12-11
JP2015070270A (ja) 2015-04-13
KR20150037641A (ko) 2015-04-08
KR102525779B1 (ko) 2023-04-25
TW201528374A (zh) 2015-07-16
US20150093915A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
JP6689565B2 (ja) 硫黄ドープ炭素ハードマスク
JP2015070270A5 (ja)
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR102625972B1 (ko) 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들
KR102649860B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
JP2015111668A (ja) 先進のパターニングのためのソフトランディング・ナノラミネート
JP2020536387A (ja) 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
KR102660290B1 (ko) 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
KR20180022572A (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150226

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170908

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180703

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190604

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200408

R150 Certificate of patent or registration of utility model

Ref document number: 6689565

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250