CN104517815B - 掺硫的碳硬膜 - Google Patents

掺硫的碳硬膜 Download PDF

Info

Publication number
CN104517815B
CN104517815B CN201410513389.XA CN201410513389A CN104517815B CN 104517815 B CN104517815 B CN 104517815B CN 201410513389 A CN201410513389 A CN 201410513389A CN 104517815 B CN104517815 B CN 104517815B
Authority
CN
China
Prior art keywords
sulphur
carbon
ahm
source
dura mater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410513389.XA
Other languages
English (en)
Other versions
CN104517815A (zh
Inventor
斯利士·K·雷迪
爱丽丝·G·霍利斯特
索斯藤·利尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104517815A publication Critical patent/CN104517815A/zh
Application granted granted Critical
Publication of CN104517815B publication Critical patent/CN104517815B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J31/00Apparatus for making beverages
    • A47J31/44Parts or details or accessories of beverage-making apparatus
    • A47J31/60Cleaning devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D65/00Wrappers or flexible covers; Packaging materials of special type or form
    • B65D65/38Packaging materials of special type or form
    • B65D65/46Applications of disintegrable, dissolvable or edible materials
    • B65D65/466Bio- or photodegradable packaging materials
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • C11D17/046Insoluble free body dispenser
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/12Carbonates bicarbonates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J2203/00Devices having filling level indicating means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Food Science & Technology (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Apparatus For Making Beverages (AREA)

Abstract

提供了使用等离子体增强化学气相沉积形成高蚀刻选择性和低氢含量的可灰化硬膜(AHM)的方法。所述方法涉及使半导体衬底上有待蚀刻的第一层暴露于碳源和硫源并且产生等离子体以在第一层上沉积掺硫的AHM或非晶碳基薄膜。

Description

掺硫的碳硬膜
技术领域
本发明涉及半导体加工制造领域,尤其涉及形成可灰化硬膜的方法。
背景技术
在包括存储器和逻辑器件制造的半导体加工中,非晶碳薄膜可以用作硬膜和蚀刻终止层。这些薄膜因为可以通过灰化技术去除,所以也称为可灰化硬膜(AHM)。随着平版印刷的纵横比增加,AHM要求更高的蚀刻选择性。通过使用等离子体增强化学气相沉积(PECVD)方法形成高选择性AHM的现有方法得到具有高应力的AHM,从而限制了AHM作为硬膜的可用性。因此,希望生产具有高蚀刻选择性和低应力的AHM。
发明内容
提供了沉积可灰化硬膜(AHM)的新方法,这种可灰化硬膜增加了用于包括存储器和逻辑器件制造的半导体加工中的蚀刻选择性。在各种实施方式中,所述方法涉及从碳源和硫源提供前体气体到半导体衬底上有待蚀刻的第一层上,并且从所述前体气体产生等离子体,从而通过等离子体增强化学气相沉积(PECVD)工艺在所述第一层上沉积掺硫的可灰化硬膜。可以沉积在AHM上的层的实例包括介电层,例如,氧化物和氮化物,以及多晶硅层。碳源的实例包括甲烷(CH4)、乙炔(C2H2)和丙烯(C3H6)。硫源的实例包括硫化氢(H2S)和二硫化碳(CS2)。在各种实施方式中,碳源和硫源可以从沉积室的上游结合,或者分别提供给沉积室。在某些实施方式中,掺硫的AHM可以具有在约0.5%与约5%之间的硫原子含量。在某些实施方式中,掺硫的AHM可以具有在约60%与约90%之间的碳原子含量。在某些实施方式中,掺硫的AHM可以具有在约13%与约26%之间的氢原子含量。在某些实施方式中,掺硫的AHM可以被图案化。第一层然后可以根据图案化的AHM进行蚀刻。在一些实施方式中,掺硫的AHM的厚度可以在约与约之间。在各种实施方式中,掺硫的AHM的应力在约-40MPa与约-400MPa之间。
另一方面涉及一种在半导体衬底上形成掺硫的碳基薄膜的方法。在沉积室中可以提供半导体衬底。在各种实施方式中,半导体衬底在沉积室中暴露于包括碳源和硫源的前体气体,并且掺硫的碳基薄膜通过PECVD沉积在衬底上。在某些实施方式中,掺硫的碳基薄膜可以具有在约0.5%与约5%之间的硫原子含量。在某些实施方式中,掺硫的碳基薄膜可以具有在约0.5%与约3.5%之间的硫原子含量。在各种实施方式中,硫源可以是硫化氢或二硫化碳。
另一方面涉及一种被配置成加工半导体基板的设备。根据各种实施方式,所述设备包括:沉积室,包括喷头、被配置成供应功率到沉积室的等离子体发生器、衬底支架以及一个或多个气体入口;以及被配置成控制所述设备中的操作的控制器,包括计算机可读指令,所述计算机可读指令用于:使包括碳源和硫源的前体气体流到容纳所述半导体衬底的沉积室,并且供应功率到所述等离子体发生器以从所述前体气体产生等离子体,从而通过PECVD工艺在所述衬底上沉积掺硫的可灰化硬膜。
以下参照附图进一步描述这些和其他方面。
附图说明
图1是工艺流程图,示出了根据各种实施方式的在蚀刻操作中使用可灰化硬膜的方法的相关操作。
图2是工艺流程图,示出了根据各种实施方式的形成掺硫的可灰化硬膜的方法的相关操作。
图3示出了适用于进行各种实施方式的等离子体增强化学气相沉积(PECVD)室的实例的示意性图示。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对提出的实施方式的透彻理解。公开的实施方式可以在没有一些或所有的这些具体细节的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊公开的实施方式。尽管将会结合具体实施方式描述公开的实施方式,但是应当理解,这些实施方式并不旨在限制公开的实施方式。
在半导体加工中,掩模方法可以用于图案化和蚀刻衬底。随着衬底的纵横比增加,对高选择性硬膜的要求越来越高。具有高蚀刻选择性又容易去除而不会损坏衬底的掩模对于加工衬底很重要。
在蚀刻终止层或在选择性蚀刻期间,或者光致抗蚀剂可能不够厚而不足以成为下层的掩模的情况下,可灰化硬膜(AHM)可用作掩模。AHM也可以用在用于显示器和其他技术的玻璃衬底上。
AHM具有一种化学组成,这种化学组成允许一旦AHM完成了它们的目的就可以通过被称为灰化,可替代地称为等离子体灰化或干剥离的技术来去除。将具有有待灰化的硬膜的衬底放入处于真空条件下的室中,并且来自等离子体的单原子氧与硬膜发生反应以将其氧化形成水、一氧化碳和二氧化碳。可以在室中或通过使氧气受到射频功率的作用而远程地形成等离子体。在某些情况下,例如,当可灰化硬膜留下仅通过灰化无法去除的任何残留物时,通过在灰化之后使用额外的湿法或干法蚀刻工艺可以实现硬膜的完全去除。
AHM一般可以由碳和氢构成,以及任选地微量的一种或多种掺杂元素(例如,氮、氟、硼和硅)。AHM的键合结构取决于沉积条件可以是sp2(石墨形态)或sp3(金刚石形态)或两者的组合。
图1是工艺流程图,示出了在蚀刻操作中使用AHM作为硬膜的方法的相关操作。在AHM沉积之前,具有有待蚀刻的层的衬底可以设置在沉积室中。尽管以下描述涉及半导体衬底,但是该方法可以应用于在例如玻璃衬底的其他类型的衬底上的层。可以使用AHM掩盖的材料的实例包括氧化物(例如,SiO2)和氮化物(SiN和TiN)、多晶硅(多晶Si)和例如铝(Al)、铜(Cu)和钨(W)的金属。在某些实施方式中,本文所述的AHM可以用于图案化氧化物、氮化物或多晶硅层。
在操作102中,AHM沉积在将使用等离子体增强化学气相沉积(PECVD)蚀刻的层上,这可以涉及在沉积室中生成等离子体。可以使用单射频(RF)等离子体源或包括高频(HF)成分和低频(LF)成分的双RF等离子体源。在一些工艺中,可以沉积一个或多个AHM层。
在操作104中,根据所需的蚀刻图案沉积、曝光并显影光致抗蚀剂层。在一些实施方式中,在光致抗蚀剂沉积之前,可以在AHM上沉积抗反射层(ARL)。
在操作106中,通过蚀刻AHM的暴露部分来打开AHM。打开AHM可以通过以下参照操作110描述的氧基干蚀刻来实现。
接着,在操作108中,选择性地蚀刻衬底层,从而将图案转移到衬底层上。可以执行选择性蚀刻使得在基本上不减小AHM壁的情况下蚀刻衬底层。蚀刻的实例可以包括自由基蚀刻和/或基于离子蚀刻。蚀刻化学物的实例可以包括基于卤素的蚀刻化学物,例如,含氟和含氯蚀刻化学物。例如,从含氟碳化合物的工艺气体产生的电容耦合等离子体可以用于选择性蚀刻氧化物层。工艺气体的具体实例包括含CxFy的工艺气体,任选地具有氧气(O2)和惰性气体,例如,C4H8/CH2F2/O2/Ar。根据各种实施方式,蚀刻工艺可以使用直接(原位)或远程等离子体。
最后,在操作110中,通过灰化、等离子体灰化或干法剥离可以去除AHM。氧气(O2)被引入处于真空条件下的室中,使得等离子体与AHM发生反应并将其氧化以形成水(H2O)、一氧化碳(CO)和二氧化碳(CO2)。如果使用掺杂物,等离子体可以与掺杂的AHM发生反应并将其氧化。任选地,任何剩余的AHM残余物在灰化之后也可以通过湿法或干法蚀刻工艺去除。结果得到所需的图案化衬底层。
高纵横比图案化可以使用具有高蚀刻选择性的AHM。蚀刻选择性可以通过将AHM层的蚀刻率/下层的蚀刻率来确定。如果AHM具有较低的氢含量,就可以强化其耐久性并且可以增加蚀刻选择性。如果AHM蚀刻率减小,那么可以增加蚀刻选择性。具有低氢含量和较低蚀刻率的AHM可以得到高蚀刻选择性。
目前已知高选择性的AHM具有高氢含量,从而限制了AHM的有效使用。当前方法使用PECVD通过引入例如碳氢化合物的碳基前体气体形成碳基AHM。然而,连续的离子轰击也将过多的未束缚的氢离子并入AHM中并且引起高原子量离子的高离子轰击。这增加了沉积的AHM的应力,进而限制了AHM的应用,因为高应力AHM更可能塌陷或压缩。增大的应力水平也使准直更困难。例如硅、硼、锗和氮的掺杂物可以用于减小AHM的氢含量以增加蚀刻选择性。然而,高纵横比平版印刷通常需要具有比当前掺杂的AHM甚至更高蚀刻选择性的AHM。
根据各种实施方式,本文提供了用于形成具有高选择性的AHM的方法。这些方法得到阻滞蚀刻率并容易去除的低氢含量的掺硫AHM,从而得到用于高效半导体加工的高选择性硬膜。
图2示出了工艺流程图,示出了根据各种实施方式的形成掺硫AHM的方法的相关操作。首先,在操作202中,将衬底上有待蚀刻的第一层放置在室中。以上参照图1中的操作102描述了衬底和衬底层的实例。在图1中,描述了使用AHM作为硬膜的整合方法。在一些其他实施方式中,本文所述的AHM也用作除硬膜之外或代替硬膜的蚀刻终止层。
然后,在操作204中,第一层暴露于包括碳源和硫源的前体气体。在各种实施方式中,碳源可以是由CxHy限定的碳氢化合物前体,其中X是2至10之间的整数,Y是2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。在一些实施方式中,可以引入两种或更多种碳氢化合物前体。
在各种实施方式中,硫源可以是硫化氢(H2S)、二硫化碳(CS2)、二氧化硫(SO2)、六氟化硫(SF6)或硫化羰(COS)。其他硫源包括甲硫醇,乙硫醇,和乙二硫醇。硫源和碳源可以预混合。在一些实施方式中,硫源和碳源可以分别提供到室中。
除碳氢化合物前体和硫基前体之外,载气可用于稀释前体气流。载气可以是任何合适的载气,包括氦气(He)、氩气(Ar)、氮气(N2)、氢气(H2)或它们的任意组合。
沉积室中的总压强可以在约1托与约20托之间的范围内,或者约为1.8托。在一些实施方式中,压强可以在约1托与约10托之间。在一些实施方式中,碳氢化合物前体可以在低分压条件下引入,例如,在约0.01托与约4托之间,详见于美国专利第7,981,777号和第7,981,810号,这两份专利通过引用的方式并入本文中。在某些实施方式中,分压可以不高于约0.2托。
前体气流速率取决于特定的沉积室和衬底。用于300mm衬底的流速的实例为在约200sccm与4000sccm之间的乙炔,在约1000sccm与约20000sccm之间的氢气,以及在约0sccm与约20000sccm之间的氦气。在一些实施方式中,氮气的流速可以在约0sccm与约3000sccm之间。硫源的流速的实例可以是在约50sccm与约400sccm之间的二硫化碳。任选地,前体气体可以连续地或脉动地流动。碳源和硫源可以同步地或不同步地脉动。硫源的脉动频率可以在约0.05Hz与约1Hz之间。碳源的脉动频率可以在约0.05Hz与约1Hz之间。
本文所述的方法可以使用任何合适的工艺温度以获得所需的AHM特征,实例为从约50℃至约550℃的范围。由于sp2键与sp3键生成,工艺温度至少可以部分地影响选择性和透明度。更高的温度有利于形成富sp2的非晶碳网络,因为高温允许C-H键容易断裂以及后续的氢扩散。例如,在高于约500℃的温度沉积的薄膜可以具有比sp3键显著更多的sp2CH键和CH2键,具有更高的碳含量和更高的密度,这与增加的蚀刻选择性有关。然而,这些薄膜由于sp2碳更低的光学带隙可能不适用于厚硬膜应用。例如,在以上,薄膜可能不够透明而足以用于掩模校准。以上引用的美国专利第7,981,810号提供了用于在低温和/或稀释的碳氢化合物前体流量沉积选择性且透明的AHM的工艺条件。在例如低于约400℃的低温下沉积的AHM可以具有比在更高的温度沉积的AHM具有不同量的sp2键。
接着,返回图2,在操作206中,使用等离子体源点燃等离子体。在一些实施方式中,单频率RF等离子体源可以用于产生电容耦合等离子体。射频源的频率可以是例如约13.56MHz。在各种实施方式中,等离子体源可以是包括高频射频成分和低频射频成分的双射频等离子体源。在某些实施方式中,实施方式的方法使用低频射频功率来产生高能离子轰击。低频射频功率指的是频率在约100kHz与约2MHz之间,例如430kHz的低频功率。在沉积期间,在一些实施方式中,低频功率范围在约0.001W/cm2与约0.5W/cm2之间,或者在约0.14W/cm2与约0.35W/cm2之间,其中W/cm2表示每平方厘米衬底表面积的功率W。高频射频功率指的是频率在约2MHz与60MHz之间,例如13.56MHz的射频功率。在沉积期间,在一些实施方式中,射频功率在约0.001W/cm2与约0.2W/cm2的范围内,或者约为0.14W/cm2
任选地,射频功率可以在约2Hz与约200Hz之间的频率脉动。可以使用至少四种变化的脉动或连续射频功率或硫流。第一,射频功率可以在硫流连续时连续。第二,射频功率可以在硫流连续时脉动。第三,射频功率可以在硫流脉动时连续。第四,射频功率和硫流两者可以脉动。
尽管以下描述的图3示出了并且叙述主要涉及射频等离子体源以生成用于PECVD沉积的电容耦合等离子体,但是可以使用包括直流(DC)和微波源的其他类型的来源。
接着,参见图2,在操作208中,掺硫AHM通过PECVD沉积在第一层上。在某些实施方式中,掺硫AHM中的氢原子含量可以在约13%与约26%之间,并且硫原子含量可以在约0.5%与约5%之间,或者在约0.5%与约3.5%之间,或者约为2%。掺硫AHM的碳含量可以在约60%与约90%之间。在一些实施方式中,第一层是氧化物/多晶硅堆。在一些实施方式中,第一层是氧化物/氮化物堆。在一些实施方式中,掺硫AHM的弹性模量与应力的比可以小于约1,或者在约0.1与约0.7之间。在一些实施方式中,掺硫AHM的弹性模量可以在约30GPa与约50GPa之间,并且应力可以在约-400MPa至约-50MPa之间。对于给定应力,蚀刻率随着掺杂物的增加而减小(蚀刻选择性增大)。
尽管公开的实施方式不局限于任何特定理论,但是据信,尽管使用硫基前体气体可以形成由于低配位数(与sp3键相反)而易受高蚀刻率影响的共价键sp2,sp2键的结构可以增加网络中硫和碳原子的体积,从而增强AHM的强度并且增加选择性。
据信,硫源可以在硫、碳和氢原子之间形成潜在的离子力,这会形成更牢固或受压更小的固体或两者兼有的固体。然而,含氢的硫源,例如H2S,可以增加氢离子含量或沉积期间的自由基轰击并且冒着增大AHM应力的风险。
尽管公开的实施方式不局限于任何特定理论,但是据信,掺硫AHM在蚀刻工艺中充当阻滞剂,从而强化AHM在蚀刻期间承受气流的能力,由此蚀刻化学成分与AHM中的硫而不是碳发生反应。因此,掺硫AHM增强AHM的掩模强度,并且增加蚀刻选择性。
因此,据信,掺硫AHM的蚀刻选择性增加可能是由于键生成和结构、原子之间的共价键和离子力强度以及蚀刻阻滞性能。
在使用参照以上图1的AHM的另一个实施方式中,方法100可以在沉积光致抗蚀剂层并显影的操作104之前,在操作102中,在第一层上实施沉积掺硫碳基AHM或掺硫非晶碳膜。当在操作106中蚀刻掺硫碳基薄膜之后,在操作108中选择性地蚀刻第一层。最后,等离子体灰化可用于去除掺硫的碳基薄膜,从而得到所需的图案化第一层。
在各种实施方式中,用于形成掺硫的碳基层的掺杂物可以是硫化氢(H2S)或二硫化碳(CS2)。尽管公开的实施方式不局限于任何特定理论,但是据信,等离子体灰化有效地去除掺硫AHM,因为硫可以与用于去除的氧基等离子体很好地发生反应。
设备
实施方式可以在多工位、多室或单工位设备上实施。各种实施方式可以与现有的半导体加工设备兼容,例如,PECVD反应器,比如购自Lam Research Corporation的SequelTM或VectorTM反应室。一般来讲,设备容纳一个或多个室或反应器,每个室或反应器包括一个或多个工位。适用于衬底加工的室可以容纳一个或多个衬底。一个或多个室通过防止旋转、振动或其他搅动而将衬底维持在限定的一个或多个位置。在一些实施方式中,正在进行掺硫AHM沉积的衬底在沉积期间可以在室内从一个位置转移到另一个位置。例如,根据各种实施方式,掺硫AHM可以完全在一个工位进行沉积,或者薄膜可以在四个工位的每个工位沉积。可替代地,总薄膜厚度的任何其他部分可以在任意数量的工位沉积。在沉积超过一个AHM的各种实施方式中,可以使用超过一个工位来沉积每个AHM层。在加工期间,每个衬底通过底座、晶圆卡盘和/或其他衬底固定设备保持在位。对于将要加热衬底的某些操作,设备可以具有例如加热板的加热器。
图3示出了适用于进行各种实施方式的PECVD反应器的实例的示意性图示。如图所示,反应器300容纳室324,该室可以是多工位设备的工位,或多室、多工位设备的室。室324封闭具有等离子体的其他反应器组件,等离子体是由电容器类型的系统以及与接地的加热部件320结合工作的喷头314产生的。低频射频发生器302和高频射频发生器304可以连接至匹配网络306,该匹配网络进一步连接至喷头314。在一些实施方式中,可以使用单个射频发生器(未示出)。匹配网络306可以供应足以从加工气体产生等离子体的功率和频率。
在反应器内,衬底支架318固定衬底316。基座通常具有卡盘、拨块或升降销以在沉积和/或等离子体处理反应期间或间隔夹持并转移衬底。卡盘可以是静电卡盘、机械卡盘或各种其他类型的卡盘。
工艺气体经由入口312引入。多源气线310连接至歧管308。气体可以任选地经过预混合。可以采用合适的阀门和质量流控制机构来确保在工艺期间输送正确的气体。在任何化学前体以液体形式输送的情况中,可以采用液体流控制机构。然后液体在达到沉积室之前在歧管中输送期间被加热到其蒸发点以上而蒸发并与其他工艺气体混合。
工艺气体经由出口322流出室324。真空泵326(例如,一级或两级机械式干泵或涡轮分子泵)通过闭环控制的流量限制装置(例如,节流阀或摆阀)抽出工艺气体并且在反应器内维持稳定的低压。在各种实施方式中,室中等离子体的密度可以是约1014至约1016个离子/m3
反应器300还包括用于控制反应器300的工艺条件和硬件状态的系统控制器328的实施方式。例如,在一些实施方式中,系统控制器328可以控制在PECVD薄膜沉积阶段期间的一个或多个工艺参数以获得所需的掺硫AHM薄膜,例如来自碳源和硫源的前体气流或者低频射频和高频射频功率水平。
在一些实施方式中,系统控制器328(可以包括一个或多个物理或逻辑控制器)控制工艺设备的一些或全部操作。用于实施适当的控制操作的指令在处理器上执行。这些指令可以存储在与系统控制器328相关联的存储设备上或者这些指令可以通过网络来提供。在某些实施方式中,系统控制器328执行系统控制软件。
例如,系统控制器328可以控制输送合适的气体(例如,碳源或硫源),接收来自设备外的衬底和/或将衬底从多工位室的一个工位转移到下一个工位。系统控制器328还可以控制沉积期间的温度、压力、低频射频功率、高频射频功率等。
系统控制器328可以包括一个或多个存储设备,一个或多个大容量存储设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接器、步进电机控制器板等。
在一些实施方式中,系统控制器328控制反应器300的所有活动。在一些实施方式中,系统控制器328执行机器可读的系统控制软件,该系统控制软件存储在大容量存储器中,装载到存储设备中,并且在处理器上运行,使得设备会执行根据本发明实施方式的方法。可替代地,控制逻辑可以硬编码在系统控制器328中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可以用于这些目的。在以下讨论中,无论何处使用“软件”或“代码”,都可以使用功能上相当的硬编码逻辑来代替。
系统控制软件可以包括用于控制计时、气体混合、工艺气体(例如,硫源、碳源等)的流速、室压和/或工位压和/或反应器压、室温和/或工位温度和/或反应器温度、衬底温度、目标功率水平、射频功率水平、衬底基座、卡盘和/或基座位置以及反应器300执行的特定工艺的其他参数的指令。系统控制软件可以被配置成任何合适的方式。例如,可以编写多个加工装备组件子程序阔控制对象以控制用于进行多个加工装备过程的加工装备组件的操作。系统控制软件可以被编码成任何合适的计算机可读的编程语言。
在一些实施方式中,系统控制系统可以包括用于控制如上所述的多个参数的输入/输出控制(IOC)序列指令。例如,制造工艺的每个阶段可以包括由系统控制器328执行的一个或多个指令。可以包括用于设置沉积低应力、掺硫AHM的各种工艺条件的指令。本文中描述了相关工艺条件的实例。
在一些实施方式中可以采用存储在与系统控制器328相关联的大容量存储设备和/或存储设备上的其他计算机软件和/或程序。用于该目的的程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于加工装备组件的程序代码,该加工装备组件用于将衬底装载到处理站衬底支架318上并且控制衬底与反应器300的其他零件之间的间距。
工艺气体控制程序可以包括用于控制气体成分和流速的代码以及任选地用于在沉积之前使气体流入一个或多个处理站以便使处理站内的压强稳定的代码。工艺气体控制程序也可以包括用于根据本文所述的实施方式使来自硫源和/或碳源的气体脉动的代码。压强控制程序可以包括用于通过调节(例如,加工站的排气系统中的节流阀)流入加工站中的气流阀等来控制加工站中的压强的代码。
加热控制程序可以包括用于控制流到用于加热衬底和/或加工室的一或多个加热单元的流的代码。可替代地,加热控制程序可以控制输送传热气体(例如,氦气)到衬底。
等离子体控制程序可以包括用于设定施加在一个或多个加工站中的加工电极上的射频功率水平的代码。
在一些实施方式中,可以存在与系统控制器328相关联的用户界面。用户界面可以包括显示屏、设备和/或加工条件的图像软件显示器以及其他输入设备,例如,指向设备、键盘、触屏、麦克风等。
在一些实施方式中,由系统控制器328调节的参数可以涉及工艺条件。非限制性实例包括:例如碳源或硫源的工艺气体成分和流速,温度,压强,等离子体条件(例如,射频偏压功率水平)等。这些参数可以以配方的形式提供给用户,可以利用用户接口来输入配方。
用于监测过程的信号可以由系统控制器328的模拟和/或数字输入连接从多个加工装备传感器提供。反应器300的模拟和数字输出连接可以输出用于控制工艺的信号。可以监测的加工装备传感器的非限制性实例包括质量流控制器、压力传感器(例如压力计)、热电偶等。可以与来自这些传感器的数据一起使用适当编程的反馈和控制算法来维持工艺条件。
系统控制器328可以提供用于实施上述沉积工艺的程序指令。程序指令可以控制各种工艺参数,例如直流功率水平、射频功率水平、压强、温度、暴露于工艺气体和/或热和/或其他能源的持续时间等。这些指令可以控制这些参数以根据本文所述的多个实施方式原位沉积并且进一步加工(例如,图案化、蚀刻和激发)薄膜堆层。
在一些实施方式中,掺硫非晶碳膜可以通过使用高密度等离子体(HDP)方法和设备来形成。HDP方法一般使用位于反应空间上游的电感耦合等离子体发生器。在一些实施方式中,HDP方法中等离子体的密度可以是约1017至约1019个离子/m3。在一些实施方式中,通过HDP沉积期间的压强可以在约1毫托与约200毫托之间。
实验
进行实验以测量在给定应力水平下掺硫和未掺硫碳硬膜的蚀刻率。在1.8托的工艺压强下进行实验。以下给出了用于300mm衬底的四工位设备的等离子体功率。
通过使用400W高频(HF)功率和1000W低频(LF)功率的双射频(RF)等离子体,流入2500sccm乙炔(C2H2)来制造未掺杂的碳硬膜。
制造了6个掺硫的碳硬膜。首先,通过使用400W高频功率和1000W低频功率的双射频等离子体,流入2500sccm乙炔(C2H2)和50sccm二硫化碳(CS2)来制造2%掺硫的碳硬膜。
通过使用400W高频功率和1000W低频功率的双射频等离子体,流入625sccm乙炔(C2H2)和68sccm二硫化碳(CS2)和2750sccm氮气(N2)来制造第二个2%掺硫的碳硬膜。
通过使用400W高频功率和1000W低频功率的双射频等离子体,流入2500sccm乙炔(C2H2)和262sccm二硫化碳(CS2)和1250sccm氦气和1500sccm氮气(N2)来制造第一个5%掺硫的碳硬膜。
通过使用400W高频功率和1000W低频功率的双射频等离子体,流入625sccm乙炔(C2H2)和168sccm二硫化碳(CS2)和1250sccm氦气和1500sccm氮气(N2)来制造第二个5%掺硫的碳硬膜。
通过使用400W高频功率和400W低频功率的双射频等离子体,流入625sccm乙炔(C2H2)和168sccm二硫化碳(CS2)和1250sccm氦气和1500sccm氮气(N2)来制造第三个5%掺硫的碳硬膜。
通过使用400W高频功率和400W低频功率的双射频等离子体,流入625sccm乙炔(C2H2)和168sccm二硫化碳(CS2)和1250sccm氦气和1500sccm氮气(N2)来制造第四个5%掺硫的碳硬膜。
测量全部七个堆层的应力和弹性模量。测量每个硬膜的氧化物/氮化物/氧化物/氮化物(ONON)堆层和氧化物/多晶硅/氧化物/多晶硅(OPOP)堆层的相对蚀刻率。将相对蚀刻率归一化以示出掺杂的硬膜比未掺杂的硬膜的蚀刻率提高。结果汇总在下表1中。
表1.掺杂与未掺杂的AHM的应力、弹性模量和蚀刻率
总而言之,与未掺杂的AHM相比,2%和5%掺硫AHM全部表现出更低的相对于ONON堆层和OPOP堆层的蚀刻率,从而表现出更高的蚀刻选择性。比较是在给定应力下对于AHM进行的。
在应力水平在-100MPa与0MPa之间的硬膜之间比较ONON蚀刻率。5%掺硫的AHM#1比2%掺硫的AHM#1具有相对于ONON堆层低的蚀刻速率,这意味着掺杂物增加到5%的AHM具有更高的蚀刻选择性。同样地,对于应力水平在-200MPa与-100MPa之间的硬膜,5%掺硫的AHM#3和#4表现出比2%掺硫的AHM#1和未掺杂的AHM相对于ONON堆层低的蚀刻率。5%掺硫的AHM#2表现出比2%掺硫的AHM和未掺杂的AHM相对于ONON堆层低的蚀刻率。
在掺杂的与未掺杂的硬膜之间比较OPOP的蚀刻率。表1的结果表明,对于OPOP蚀刻而言,掺硫的AHM(2%和5%的两个变型)具有比未掺杂的AHM低的相对蚀刻率。
总结
尽管为了理解清楚的目的描述了上述实施方式的一些细节,但是应当认识到,在所附权利要求书的范围内可以进行某些变化和修改。应该指出的是,实施本实施方式的过程、系统和设备的替代方式有很多。因此,本发明应当看成是说明性的而不是限制性的,并且实施方式不限于本文给出的细节。

Claims (17)

1.一种在半导体衬底上有待蚀刻的第一层上形成可灰化硬膜的方法,包括:
提供包括碳源和硫源的前体气体到容纳所述半导体衬底的沉积室,并且
从所述前体气体产生等离子体,从而通过等离子体增强化学气相沉积(PECVD)工艺在所述第一层上沉积掺硫的可灰化硬膜。
2.根据权利要求1所述的方法,其中所述沉积的掺硫的可灰化硬膜具有在0.5%与5%之间的硫原子含量。
3.根据权利要求2所述的方法,其中所述沉积的掺硫的可灰化硬膜具有在60%与90%之间的碳原子含量。
4.根据权利要求2所述的方法,其中所述沉积的掺硫的可灰化硬膜具有在13%与26%之间的氢原子含量。
5.根据权利要求1所述的方法,其中所述掺硫的可灰化硬膜的厚度在之间。
6.根据权利要求1至5的任一项所述的方法,其中所述掺硫的可灰化硬膜的应力在-40MPa与-400MPa之间。
7.根据权利要求1至5的任一项所述的方法,其中所述第一层选自由氧化物层、氮化物层和多晶硅层组成的组。
8.根据权利要求1至5的任一项所述的方法,其中所述碳源是甲烷、乙炔或丙烯。
9.根据权利要求1至5的任一项所述的方法,其中所述硫源是硫化氢(H2S)或二硫化碳(CS2)。
10.根据权利要求1至5的任一项所述的方法,其中所述硫源是二硫化碳(CS2)并且所述碳源是乙炔(C2H2)。
11.根据权利要求1至5的任一项所述的方法,其中所述碳源和所述硫源从所述沉积室的上游结合。
12.根据权利要求1至5的任一项所述的方法,其中所述碳源和所述硫源被单独提供到所述沉积室。
13.根据权利要求1至5的任一项所述的方法,进一步包括图案化所述掺硫的可灰化硬膜层。
14.根据权利要求13所述的方法,进一步包括根据所述掺硫的可灰化硬膜的图案蚀刻所述第一层。
15.一种在半导体衬底上形成掺硫的非晶碳基薄膜的方法,包括:
在沉积室中提供所述半导体衬底,
使所述半导体衬底暴露于包括碳源和硫源的前体气体,并且
通过等离子体增强化学气相沉积(PECVD)工艺在所述半导体衬底上沉积所述掺硫的非晶碳基薄膜。
16.根据权利要求15所述的方法,其中所述掺硫的非晶碳基薄膜具有在0.5%与5%之间的硫原子含量。
17.根据权利要求15或16所述的方法,其中所述硫源是硫化氢(H2S)或二硫化碳(CS2)。
CN201410513389.XA 2013-09-30 2014-09-29 掺硫的碳硬膜 Active CN104517815B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884800P 2013-09-30 2013-09-30
US61/884,800 2013-09-30
US14/270,001 2014-05-05
US14/270,001 US9320387B2 (en) 2013-09-30 2014-05-05 Sulfur doped carbon hard masks

Publications (2)

Publication Number Publication Date
CN104517815A CN104517815A (zh) 2015-04-15
CN104517815B true CN104517815B (zh) 2017-08-22

Family

ID=52738893

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410513389.XA Active CN104517815B (zh) 2013-09-30 2014-09-29 掺硫的碳硬膜

Country Status (5)

Country Link
US (2) US9320387B2 (zh)
JP (1) JP6689565B2 (zh)
KR (2) KR102375870B1 (zh)
CN (1) CN104517815B (zh)
TW (1) TWI644361B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9307860B2 (en) 2014-02-14 2016-04-12 Remington Designs, Llc Processor control of solute extraction system
US9948268B2 (en) 2015-02-09 2018-04-17 Samsung Electro-Mechanics Co., Ltd. Multiband antenna having external conductor and electronic device including the same
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US11638499B2 (en) 2020-05-27 2023-05-02 Adrian Rivera Beverage brewer spray apparatus having multiple dispersion members
US10702096B2 (en) * 2015-10-30 2020-07-07 Adrian Rivera Brewing chamber cleaner
AU2016350142B2 (en) * 2015-11-06 2022-06-02 Cafetto Asia Pte. Ltd. Cleaning arrangement for capsule based beverage dispensers
CN106706710A (zh) * 2015-11-11 2017-05-24 中国科学院上海微系统与信息技术研究所 基于硫掺杂石墨烯的氮氧化物气体传感器及其制备方法
DE102016100724A1 (de) * 2016-01-18 2017-07-20 Miele & Cie. Kg Getränkeautomat mit automatischer Reinigungsvorrichtung
US10043672B2 (en) * 2016-03-29 2018-08-07 Lam Research Corporation Selective self-aligned patterning of silicon germanium, germanium and type III/V materials using a sulfur-containing mask
US20170280929A1 (en) * 2016-03-30 2017-10-05 Eco 2, Llc Descaling device for a beverage machine and method of descaling a beverage machine
US9622616B1 (en) 2016-03-30 2017-04-18 Eco 2, Llc Descaling pod for brewing machine
US20230180963A1 (en) * 2016-06-23 2023-06-15 Adrian Rivera Brewing Machine Cleaner
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
US11524268B2 (en) 2016-11-09 2022-12-13 Pepsico, Inc. Carbonated beverage makers, methods, and systems
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
CN111836762A (zh) * 2018-03-15 2020-10-27 帝斯曼知识产权资产管理有限公司 袋中袋包装系统
CN112041967A (zh) * 2018-04-24 2020-12-04 应用材料公司 碳硬掩模的等离子体增强化学气相沉积
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
TWI804638B (zh) 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
CN115039209A (zh) * 2019-12-31 2022-09-09 玛特森技术公司 用于硬掩模去除的系统和方法
KR20230078590A (ko) * 2020-09-29 2023-06-02 램 리써치 코포레이션 순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
CN102001616A (zh) * 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
CN102790127A (zh) * 2011-05-17 2012-11-21 因特瓦克公司 用于太阳能电池的背面点接触部制造的方法

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
WO1990000243A1 (en) 1988-07-04 1990-01-11 Japan Oxygen Co., Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP2002504189A (ja) 1997-06-16 2002-02-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板の真空被覆方法および装置
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) * 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
JP4789035B2 (ja) * 1999-03-26 2011-10-05 独立行政法人科学技術振興機構 n型ダイヤモンドを用いた半導体デバイス
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20070132375A1 (en) 2003-11-13 2007-06-14 Bachmann Peter K Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
CN102292428B (zh) * 2009-01-30 2014-06-04 埃科莱布有限公司 羟基羧酸铝助洗剂的开发
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9023583B2 (en) 2010-10-14 2015-05-05 Nissan Chemical Industries, Ltd. Monolayer or multilayer forming composition
US9155418B2 (en) * 2010-11-15 2015-10-13 Conair Corporation Brewed beverage appliance and method
US8541311B2 (en) * 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
EP2604547A1 (en) * 2011-12-12 2013-06-19 Nestec S.A. A capsule for descaling a beverage preparation machine
DE102012011204A1 (de) * 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
CN102001616A (zh) * 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
CN102790127A (zh) * 2011-05-17 2012-11-21 因特瓦克公司 用于太阳能电池的背面点接触部制造的方法

Also Published As

Publication number Publication date
TW201528374A (zh) 2015-07-16
KR20220035900A (ko) 2022-03-22
TWI644361B (zh) 2018-12-11
US20150093915A1 (en) 2015-04-02
KR102375870B1 (ko) 2022-03-16
KR20150037641A (ko) 2015-04-08
KR102525779B1 (ko) 2023-04-25
US9320387B2 (en) 2016-04-26
CN104517815A (zh) 2015-04-15
US20150090300A1 (en) 2015-04-02
JP6689565B2 (ja) 2020-04-28
JP2015070270A (ja) 2015-04-13

Similar Documents

Publication Publication Date Title
CN104517815B (zh) 掺硫的碳硬膜
CN105914146B (zh) 用于在蚀刻氮化硅时实现超高选择比的方法
US10629429B2 (en) Selective deposition of silicon oxide
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN104513973B (zh) 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
CN106057637B (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
JP2015070270A5 (zh)
US20180308680A1 (en) Selective deposition with atomic layer etch reset
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
CN106024596A (zh) 减少无定形碳硬掩模膜的碳-氢含量的系统及方法
TW201618189A (zh) 矽氧化物之沉積方法
CN107045977A (zh) 连续等离子体中的原子层蚀刻
KR101194192B1 (ko) 어모퍼스 카본 나이트라이드막의 형성 방법, 어모퍼스 카본 나이트라이드막, 다층 레지스트막, 반도체 장치의 제조 방법 및 제어 프로그램이 기억된 기억 매체
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
CN103119695A (zh) 共形膜的等离子体激活沉积
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF
CN113366612A (zh) 用于先进半导体应用的低应力膜
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant