TWI644361B - 硫摻碳硬遮罩 - Google Patents

硫摻碳硬遮罩 Download PDF

Info

Publication number
TWI644361B
TWI644361B TW103133764A TW103133764A TWI644361B TW I644361 B TWI644361 B TW I644361B TW 103133764 A TW103133764 A TW 103133764A TW 103133764 A TW103133764 A TW 103133764A TW I644361 B TWI644361 B TW I644361B
Authority
TW
Taiwan
Prior art keywords
sulfur
hard mask
layer
semiconductor substrate
forming
Prior art date
Application number
TW103133764A
Other languages
English (en)
Other versions
TW201528374A (zh
Inventor
瑟利西K 瑞迪
艾莉絲G 霍利斯特
托爾斯滕 立爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201528374A publication Critical patent/TW201528374A/zh
Application granted granted Critical
Publication of TWI644361B publication Critical patent/TWI644361B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J31/00Apparatus for making beverages
    • A47J31/44Parts or details or accessories of beverage-making apparatus
    • A47J31/60Cleaning devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D65/00Wrappers or flexible covers; Packaging materials of special type or form
    • B65D65/38Packaging materials of special type or form
    • B65D65/46Applications of disintegrable, dissolvable or edible materials
    • B65D65/466Bio- or photodegradable packaging materials
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/04Detergent materials or soaps characterised by their shape or physical properties combined with or containing other objects
    • C11D17/041Compositions releasably affixed on a substrate or incorporated into a dispensing means
    • C11D17/046Insoluble free body dispenser
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/12Carbonates bicarbonates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J2203/00Devices having filling level indicating means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Food Science & Technology (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Apparatus For Making Beverages (AREA)

Abstract

本發明提供使用電漿增強化學氣相沉積形成具有高蝕刻選擇性及低氫含量之可灰化硬遮罩(AHM)的方法。方法涉及將半導體基板上之待蝕刻的第一層曝露至碳來源及硫來源,並產生電漿以在該第一層上沉積硫摻雜AHM或非晶質碳基膜。

Description

硫摻碳硬遮罩
本發明關於形成硬遮罩的方法,尤其是,關於形成具有高蝕刻選擇性及低氫含量之可灰化硬遮罩的方法。
非晶質碳膜在半導體處理中(包含在記憶體及邏輯元件製造中)可用作硬遮罩及蝕刻停止層。由於此等膜可藉著灰化技術移除,因此其亦做為可灰化硬遮罩(AHM)而為人所知。隨著微影製程中的高寬比增加,AHM需要更高的蝕刻選擇性。目前使用電漿增強化學氣相沉積(PECVD)製程形成具高選擇性之AHM的方法造成具有高應力的AHM,限制AHM做為硬遮罩的有用性。因此,希望製造具有高蝕刻選擇性、但低應力的AHM。
本發明提供增加蝕刻選擇性以用於包含記憶體及邏輯元件製造之半導體處理中的沉積可灰化硬遮罩(AHM)之新穎方法。在不同實施例中,方法涉及提供來自碳來源及硫來源之前驅物氣體至半導體基板上之待蝕刻的第一層,且自該前驅物氣體產生電漿以藉由電漿增強化學氣相沉積(PECVD)在該第一層上沉積硫摻雜AHM。AHM可沉積在其上之層的範例包含像是氧化物及氮化物之介電層、及多晶矽層。碳來源之範例包含甲烷(CH4 )、乙炔(C2 H2 )、及丙烯(C3 H6 )。硫來源之範例包含硫化氫(H2 S)及二硫化碳(CS2 )。在不同實施例中,碳來源及硫來源可在沉積腔室之上游合併,或個別提供至該沉積腔室。在若干實施例中,硫摻雜AHM可具有介於約0.5%及約5%之間的原子硫含量。在若干實施例中,硫摻雜AHM可具有介於約60%及約90%之間的原子碳含量。在若干實施例中,硫摻雜AHM可具有介於約13%及約26%之間的原子氫含量。在一些實施例中,可將硫摻雜AHM圖案化。然後可根據圖案化之AHM蝕刻第一層。在一些實施例中,硫摻雜AHM可具有介於約1000Å及約90,000Å之間的厚度。在不同實施例中,硫摻雜AHM具有介於約-40MPa及約-400MPa之間的應力。
另一實施態樣關於在半導體基板上形成硫摻雜碳基膜之方法。可將半導體基板設置於沉積腔室中。在不同實施例中,半導體基板係在沉積腔室中曝露至包含碳來源及硫來源之前驅物氣體且硫摻雜碳基膜係藉由PECVD沉積在基板上。在若干實施例中,硫摻雜碳基膜可具有介於約0.5%及約5%之間的原子硫含量。在若干實施例中,硫摻雜碳基膜可具有介於約0.5%及約3.5%之間的原子硫含量。在不同實施例中,硫來源可為硫化氫或二硫化碳。
另一實施態樣關於用以處理半導體基板之設備。根據不同實施例,設備包含沉積腔室及控制器,該沉積腔室包含噴淋頭、用以施加功率至該沉積腔室的電漿產生器、基板支撐部、及一或更多氣體入口;該控制器用以控制該設備中的操作,且包含機器可讀指令,該等機器可讀指令用以使包含碳來源及硫來源之前驅物氣體流至容納基板之該沉積腔室並施加功率至該電漿產生器以自該前驅物氣體產生電漿而藉由PECVD在該基板上沉積硫摻雜可灰化硬遮罩­­。
此等及其它實施態樣係於底下參照圖式進一步加以描述。
在以下描述中提出眾多特定細節,俾以提供所呈現實施例之徹底理解。所揭露實施例可在無此等特定細節之一些或所有者的情況下執行。在其它情況中,廣為人知的製程操作已不詳細描述,以免無謂地混淆所揭露實施例。儘管所揭露實施例將搭配特定實施例加以描述,惟將理解其並非意圖限制該等所揭露實施例。
在半導體處理中,可使用掩蔽法來圖案化及蝕刻基板。隨著基板高寬比增加,對於高選擇性之硬遮罩的需求增加。具有高蝕刻選擇性、然而在不損及基板的情況下容易移除的遮罩對於處理基板而言是重要的。
可灰化硬遮罩(AHM)可在蝕刻停止層中、或在選擇性蝕刻期間、或在光阻可能未厚到足以掩蔽底下層的情況中用作遮罩。AHM亦可用於供顯示器及其它技術用的玻璃基板上。
AHM具有容許其在一旦已發揮其作用之後藉由稱為灰化、且替代地稱為電漿灰化或乾式剝除的技術加以移除的化學組成。具有待灰化之硬遮罩的基板係置入處於真空的腔室,而來自電漿的單原子氧與該硬遮罩反應而將其氧化成水、一氧化碳、及二氧化碳。電漿可藉由使氧受射頻功率而在腔室中或遠端地形成。在一些情況中,例如當可灰化硬遮罩留下任何無法單獨藉灰化而移除的殘留物時,硬遮罩的完整移除可藉由以額外的溼式或乾式蝕刻製程接續在灰化之後來完成。
AHM通常可由碳及氫及可選的微量之一或更多摻雜物(例如氮、氟、硼、及矽)所組成。取決於沉積條件,AHM之鍵結結構可為sp2 (類石墨)或sp3 (類鑽石)、或兩者之組合。
圖1為顯示在蝕刻操作中使用AHM做為硬遮罩的方法之相關操作的製程流程圖。在AHM沉積之前,可將具有待蝕刻層之基板設置於沉積腔室中。儘管以下描述敘及半導體基板,然而可將方法應用於其它類型之基板(像是玻璃基板)上的層。可利用AHM加以掩蔽的材料範例包含像是氧化物(例如SiO2 )及氮化物(例如SiN及TiN)、多晶矽(Poly-Si)之介電材料;及像是鋁(Al)、銅(Cu)、鎢(W)之金屬。在若干實施例中,在此所述之AHM可用以圖案化氧化物、氮化物、多晶矽層。
在操作102中,藉由可涉及於沉積腔室中產生電漿的電漿增強化學氣相沉積(PECVD)將AHM沉積在待蝕刻層上。可使用單一射頻(RF)電漿源或包含高頻(HF)成份及低頻(LF)成份之雙RF電漿源。在一些製程中,可沉積一或更多AHM層。
在操作104中,根據期望的蝕刻圖案將光阻層沉積、曝光及顯影。在一些實施例中,可在光阻沉積之前於AHM上沉積抗反射層(ARL)。
在操作106中,藉由蝕刻AHM的曝光部份將AHM開放。開放AHM可藉由以下參照操作110所描述的氧基乾蝕刻加以執行。
接下來,在操作108中,將基板層選擇性地蝕刻以將圖案轉移至基板層。可將選擇性蝕刻執行成使得基板層係在未實質上減少AHM壁的情況下受蝕刻。蝕刻的範例可包含以自由基及/或離子為基礎的蝕刻。蝕刻化學的範例可包含鹵素基(halogen-based)蝕刻化學,像是含氟及含氯的蝕刻化學。舉例來說,可使用產生自含氟碳化合物之製程氣體的電容耦合電漿來選擇性地蝕刻氧化物層。製程氣體的特定範例包括含Cx Fy 之製程氣體、可選地伴隨氧(O2 )及惰性氣體,像是C4 H8 /CH2 F2 /O2 /Ar。蝕刻製程可根據不同實施例使用直接(原位)或遠端電漿。
最後,在操作110中,可藉由灰化、電漿灰化、或乾式剝除將AHM移除。可將氧(O2 )引入處於真空之腔室,使得電漿與AHM反應並將其氧化成水(H2 O)、一氧化碳(CO)、及二氧化碳(CO2 )。假如使用摻雜物,電漿可與摻雜之AHM反應並將其氧化。可選地,亦可在灰化之後藉由溼式或乾式蝕刻製程將任何剩餘的AHM殘留物移除。結果為期望的圖案化之基板層。
高高寬比之圖案化可使用具有高蝕刻選擇性的AHM。蝕刻選擇性可藉由比較AHM層與底下層的蝕刻速率而判定。假如AHM具有較低的氫含量,其耐用性可強化且蝕刻選擇性可增加。假如AHM蝕刻速率減少,則蝕刻選擇性可增加。具有低氫含量及較低蝕刻速率的AHM可獲得高蝕刻選擇性。
迄今,已知的高選擇性之AHM具有高氫含量,因而限制有效的AHM使用。目前的方法藉著引入碳基前驅物氣體(像是碳氫化合物)由PECVD形成碳基AHM。然而,連續離子轟擊亦將多餘的無縛氫原子併入AHM且造成重原子量離子的高度離子轟擊。此增加所沉積AHM之應力,其限制AHM的應用,因為高應力AHM較可能倒塌或被壓縮。增加之應力位準亦使對準更加困難。像是矽、硼、鍺及氮之摻雜物可用以減少AHM氫含量以增加蝕刻選擇性。然而,更高高寬比的微影製程經常需要具有甚至比目前摻雜AHM所提供者更高的蝕刻選擇性之AHM。
根據不同實施例,在此提供的是形成具有更高選擇性之AHM的方法。此等方法獲得減緩蝕刻速率、且易於移除之具有低氫含量的硫摻雜AHM,造成用於有效之半導體處理的高選擇性之硬遮罩。
圖2顯示呈現根據不同實施例之形成硫摻雜AHM的方法之相關操作的製程流程圖。首先,在操作202中,將基板上之待蝕刻的第一層設置於腔室中。基板及基板層之範例係於以上參照圖1中的操作102所描述。圖1中描述使用AHM做為硬遮罩之整合方法。在一些其它實施例中,除了用作硬遮罩之外、或取代用作硬遮罩,在此所述的AHM亦可用作蝕刻停止層。
然後,在操作204中,使第一層曝露至包含碳來源及硫來源之前驅物氣體。在不同實施例中,碳來源可為藉由通式Cx Hy 所定義之碳氫化合物前驅物,其中X為介於2及10之間的整數,而Y為介於2及24之間的整數。範例包含甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C H8 )。在一些實施例中,可引入二或更多碳氫化合物前驅物。
在不同實施例中,硫來源可為硫化氫(H2 S)、二硫化碳(CS2 )、二氧化硫(SO2 )、六氟化硫(SF6 )或羰基硫(COS)。其它硫來源包含甲硫醇、乙硫醇、及乙二硫醇。硫來源及碳來源可預先混合。在一些實施例中,硫來源及碳來源可個別供應至腔室。
除了碳氫化合物及硫基前驅物之外,可使用載送氣體以稀釋前驅物氣體流。載送氣體可為任何合適之載送氣體,包含氦(He)、氬(Ar)、氮(N2 )、氫(H2 )、或此等者之任一的組合。
沉積腔室中的總壓可在約1 Torr及約20 Torr之間的範圍,或可為約1.8Torr。在一些實施例中,壓力可在約1 Torr及約10 Torr之間。在一些實施例中,碳氫化合物前驅物可在例如介於約0.01Torr及約4Torr之間的低分壓被引入,如於在此併入做為參考之美國專利第7,981,777及7,981,810號中所討論。在若干實施例中,分壓可在約0.2Torr或以下。
前驅物氣體流率取決於特定沉積腔室及基板。針對300mm基板所使用之流率的範例為介於約200 sccm及約4,000 sccm之間的乙炔、介於約1,000 sccm及約20,000 sccm之間的氫、及介於約0 sccm及約20,000 sccm之間的氦。在一些實施例中,氮的流率可介於約0 sccm及約3,000 sccm之間。硫來源之流率的範例可為介於約50 sccm及約400 sccm之二硫化碳。可選地,可使前驅物氣體連續流動或受脈衝。可將碳來源及硫來源同步或非同步加以脈衝。硫來源的脈衝頻率可介於約0.05 Hz及約1 Hz之間。碳來源的脈衝頻率可介於約0.05 Hz及約1 Hz之間。
在此所述的方法可與任何適當製程溫度一起使用以獲得期望的AHM特性,而範例在自約50°C到約550°C之範圍內。製程溫度至少部份地因sp2 鍵相對於sp3 鍵的形成而可影響選擇性及透明度。由於高溫使C-H鍵能夠輕易斷裂並使氫後續得以擴散,因此較高的溫度有利於富sp2 非晶質碳網狀結構形成。舉例來說,在約500°C以上之溫度所沉積的膜可具有與sp3 鍵相比明顯更多的sp2 CH 及CH2 鍵,伴隨著與增加之蝕刻選擇性相關的增加之碳含量及較高密度。然而,由於sp2 碳之較低光能隙,此等膜可能不適用於厚硬遮罩應用。舉例來說,在2Å及以上,膜可能未透明到足以用於遮罩對準。以上提及之美國專利第7,981,810號提供用以在較低溫度及/或稀釋之碳氫化合物前驅物流下沉積選擇性及透明的AHM之製程條件。與在較高溫度所沉積的該等AHM相比,在較低溫度(例如在約400°C以下)所沉積的該等AHM可具有不同的sp2 鍵結量。
接下來,回到圖2,在操作206中使用電漿源激發電漿。在一些實施例中,可使用單一頻率RF電漿源以產生電容耦合電漿。RF來源之頻率可為例如約13.56MHz。在不同實施例中,電漿源可為包含HF RF成份及LF RF成份之雙RF電漿源。在若干實施例中,實施例之方法使用LF RF功率以產生高能離子轟擊。低頻RF功率代表具有介於100kHz及2MHz之間的頻率–例如430kHz之RF功率。在一些實施例中,LF功率於沉積期間係在介於約0.001W/cm2 及約0.5W/cm2 之間、或介於約0.14W/cm2 及約0.35W/cm2 之間的範圍內,其中W/cm2 表示每平方公分基板表面積之瓦特。高頻RF功率代表具有介於2MHz及60MHz之間的頻率(像是約13.56MHz之頻率)的RF功率。在一些實施例中,HF功率於沉積期間係在介於約0.001W/cm2 及約0.2W/cm2 之間的範圍內、或為約0.14W/cm2
可選地,可以介於約2 Hz及約200 Hz之間的頻率使RF功率受脈衝。可使用脈衝或連續RF功率或硫流的至少四變化形。第一,在硫流連續時,RF功率可連續。第二,在硫流連續時,RF功率可受脈衝。第三,在硫流受脈衝時,RF功率可連續。第四,RF功率及硫來源流兩者皆可受脈衝。
儘管下述之圖3繪示、且描述內容主要關於用以產生PECVD沉積用之電容耦合電漿的RF電漿源,惟亦可使用包含直流(DC)及微波源之其它類型的來源。
接下來參照圖2,在操作208中,將硫摻雜AHM藉PECVD沉積在第一層上。在若干實施例中,硫摻雜AHM中的原子氫含量可介於約13%及約26%之間,而原子硫含量可介於約0.5%及約5%之間、或介於約0.5%及約3.5%之間,或可為約2%。硫摻雜AHM之碳含量可介於約60%及約90%之間。在一些實施例中,第一層為氧化物/多晶矽堆疊。在一些實施例中,第一層為氧化物/氮化物堆疊。在一些實施例中,硫摻雜AHM可具有少於約1、或介於約0.1及約0.7之間的模數對應力比率。在一些實施例中,硫摻雜AHM之模數可介於約30GPa及約50GPa之間,而應力可介於約-400MPa至約-50MPa之間。對於一特定應力而言,蝕刻速率隨著增加之摻雜而減少(蝕刻選擇性增加)。
儘管所揭露實施例不受任何特定理論限制,然而據信雖然使用硫基前驅物氣體可產生因較低配位數(與sp3 鍵相反)而易遭受較高蝕刻速率之共價sp2 鍵,惟sp2 鍵的結構可增加網狀結構中之硫及碳原子的體積,從而強化AHM的強度並增加選擇性。
亦據信硫來源可在硫及碳、與硫及氫原子之間產生可能的離子力,其產生更穩定、或受較少應力、或兩者之固體。然而,像是H2 S之具有氫的硫來源可在沉積期間增加氫離子量或自由基轟擊而具有增加AHM應力的風險。
儘管所揭露實施例不受任何特定理論限制,據信硫摻雜AHM在蝕刻製程中做為阻滯劑(retardant),強化AHM在蝕刻期間耐受氣體流的能力,藉以使蝕刻化學與AHM中的硫、而非碳交互作用。因此,硫摻雜AHM強化AHM的遮罩強度並增加蝕刻選擇性。
因此,據信硫摻雜AHM在蝕刻選擇性方面的增加可起因於鍵的形成及鍵的結構、原子間的共價鍵及離子力強度、及蝕刻阻滯劑性質。
在另一有關以上圖1之使用AHM的實施例中,方法100可在於操作104中沉積及顯影光阻層之前於操作102中在第一層上實施硫摻雜碳基AHM或硫摻雜非晶質碳膜的沉積。在於操作106中蝕刻硫摻雜碳基膜之後,在操作108中選擇性地蝕刻第一層。最後,可使用電漿灰化以移除硫摻雜碳基膜,獲得期望之圖案化的第一層。
在不同實施例中,用以形成硫摻雜碳基層之摻雜物可為硫化氫(H2 S)或二硫化碳(CS2 )。儘管所揭露實施例不受任何特定理論所限制,據信由於硫可與用於移除之氧基電漿反應得良好,因此電漿灰化有效地移除硫摻雜AHM。 設備
實施例可在多重站、或多重腔室、或單一站工具執行。不同實施例可相容於既存的半導體處理設備,像是PECVD反應器–例如可取自Lam Research Corporation之SequelTM 或VectorTM 反應器腔室。一般來說,設備容納一或更多腔室或反應器,每一者包含一或更多站。適於基板處理之腔室可容納一或更多基板。該一或更多腔室藉由避免轉動、振動或其它擾動而將基板維持在定義之位置或複數位置。在一些實施例中,經歷硫摻雜AHM沉積之基板可在沉積期間於腔室內由一站傳送至另一者。舉例來說,根據不同實施例,可完全在一站沉積2000Å硫摻雜AHM,或可在四站之每一者沉積500Å的膜。或者是,可在任何數量的站沉積任何其它分率之總膜厚。在沉積多於一AHM的不同實施例中,可使用多於一站來沉積每一AHM層。在處理期間,每一晶圓可藉由基座、晶圓夾頭、及/或其它基板固持設備固持於定位。對於其中基板將被加熱之若干操作,設備可具有加熱器,像是加熱板。
圖3顯示適於執行不同實施例之PECVD反應器的範例之示意圖。如所示,反應器300容納腔室324,腔室324可為多重站工具之站、或多重腔室、多重站工具之腔室。腔室324包圍其它反應器構件,而電漿藉由電容式系統產生且噴淋頭314與接地之加熱器塊體320共同運作。LF RF產生器302及HF RF產生器304可連接至匹配網路306,匹配網路306進一步連接至噴淋頭314。在一些實施例中,可使用單一RF產生器(未顯示)。足以自處理氣體產生電漿的功率及頻率可藉由匹配網路306加以提供。
在反應器內,基板支撐部318固持基板316。基座通常可包含夾頭、叉、或升降銷以在沉積及/或電漿反應期間及之間固持及傳送基板。夾頭可為靜電夾頭、機械夾頭、或不同其它類型的夾頭。
處理氣體係經由入口312引入。複數來源氣體管線310係連接至歧管308。氣體可可選地預先混合。可運用適當的閥調及質流控制機構以確保在製程期間輸送正確的氣體。假如任何化學前驅物係以液體形式輸送,可運用液流控制機構。然後液體係於到達沉積腔室之前、於其在加熱至其汽化點以上的歧管中輸送的期間汽化並與其它製程氣體混合。
製程氣體經由出口322離開腔室324。真空泵326(例如一或二階段機械乾式泵、或渦輪分子泵)將製程氣體吸出並藉由使用像是節流閥或擺閥之閉迴路、控制式流動限制裝置於反應器內維持適當低壓。在不同實施例中,腔室中的電漿密度可為約1014 至約1016 離子/立方公尺。
反應器300亦包含用以控制製程條件及反應器300之硬體狀態的系統控制器328之實施例。舉例來說,在一些實施例中,系統控制器328可在PECVD膜沉積階段期間控制一或更多製程參數(像是來自碳來源及硫來源之前驅物氣體流、或LF RF功率及HF RF功率位準)以達成期望的硫摻雜AHM膜。
在一些實施例中,系統控制器328(其可包含一或更多實體或邏輯控制器)控制製程工具之操作的一些或所有者。用以執行適當控制操作的指令係於處理器執行。此等指令可儲存在與系統控制器328相關聯的記憶體裝置或是可透過網路提供。在若干實施例中,系統控制器328執行系統控制軟體。
舉例來說,系統控制器328可控制適當氣體(例如碳來源或硫來源)之輸送、來自設備外部之基板的接收及/或基板自多重站腔室之一站至下一者的傳送。系統控制器328亦可在沉積期間控制溫度、壓力、LF RF功率、HF RF功率…等。
系統控制器328可包含一或更多記憶體裝置、一或更多大量儲存裝置、及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板…等。
在一些實施例中,系統控制器328控制反應器300之活動的所有者。在一些實施例中,系統控制器328執行儲存在大量儲存裝置中、載入記憶體裝置、並在處理器執行之機器可讀系統控制軟體,使得設備將執行根據本實施例之方法。或者是,可將控制邏輯硬體編碼(hard coded)於系統控制器328中。特殊應用積體電路、可程式化邏輯元件(例如現場可程式化閘陣列,或FPGA)、及類似者可用於此等目的。在以下討論中,無論在何處使用「軟體」或「程式碼」,皆可使用功能上可相比之硬體編碼邏輯取而代之。
系統控制軟體可包含用以控制以下者之指令:時間點、氣體混合、製程氣體(例如硫來源、碳來源…等)之流率、腔室及/或站及/或反應器壓力、腔室及/或站及/或反應器溫度、基板溫度、目標功率位準、RF功率位準、基板基座、夾頭及/或承受器位置、及藉由反應器300所執行之特定製程的其它參數。系統控制軟體可以任何合適方式加以配置。舉例來說,可撰寫不同的製程工具構件副程式或控制物件以控制用以執行不同製程工具製程之製程工具構件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體可包含用以控制上述不同參數之輸入/輸出控制(IOC)排序指令。舉例來說,製造程序之每一階段可包含用以藉系統控制器328執行的一或更多指令。可包含用以設定供沉積低應力、硫摻雜AHM用之不同製程條件的指令。相關製程條件之範例係在此描述。
在一些實施例中可運用儲存在與系統控制器328相關聯之大量儲存裝置及/或記憶體裝置上的其他電腦軟體及/或程式。用於此目的之程式或程式片段的範例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具構件之程式碼,該等製程工具構件係用以將基板裝載至製程站基板支撐部318上並控制基板與反應器300之其他零件之間的間隔。
製程氣體控制程式可包含用以控制氣體組成及流率、及選擇性地用以在沉積之前為了穩定製程站內的壓力而將氣體流入一或更多製程站的程式碼。製程氣體控制程式亦可包含用以根據在此之實施例將來自硫來源及/或碳來源之氣體加以脈衝的程式碼。壓力控制程式可包含用以藉由調控例如製程站之排放系統中的節流閥、進入製程站之氣體流…等而控制製程站內之壓力的程式碼。
加熱器控制程式可包含供控制通往用以加熱基板及/或處理腔室之一或更多加熱單元之電流用的程式碼。或者是,加熱器控制程式可控制熱傳氣體(像是氦)至基板的輸送。
電漿控制程式可包含用以設定施加至一或更多製程站中的製程電極之RF功率位準的程式碼。
在一些實施例中,可存在與系統控制器328相關聯之使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示、及像是指向裝置、鍵盤、觸控螢幕、麥克風…等之使用者輸入裝置。
在一些實施例中,藉由系統控制器328所調整的參數可相關至製程條件。非限制性範例包含製程氣體組成及像是碳來源或硫來源之流率、溫度、壓力、電漿條件(像是RF偏壓功率位準)…等。此等參數可以配方的形式提供給使用者,該配方可利用使用者介面輸入。
用於監控製程的訊號可藉由系統控制器328之類比及/或數位輸入連接從不同製程工具感測器加以提供。用以控制製程之訊號可輸出在反應器300之類比及數位輸出連接上。可受監控之製程工具感測器的非限制性範例包含質流控制器、壓力感測器(像是壓力計)、熱電偶…等。經適當編程的回饋及控制演算法可與來自此等感測器的數據一起使用以維持製程條件。
系統控制器328可提供用以實施上述沉積製程之程式指令。程式指令可控制不同製程參數,像是DC功率位準、RF偏壓功率位準、壓力、溫度、曝露至處理氣體及/或熱及/或其它能量源之持續期間…等。指令可控制參數以根據在此所述之不同實施例操作膜堆疊之原位沉積及進一步處理(例如圖案化、蝕刻、及活化)。
在一些實施例中,硫摻雜非晶質碳膜可使用高密度電漿(HDP)方法及設備加以形成。HDP方法通常使用位在反應空間上游之感應耦合電漿產生器。在一些實施例中,HDP製程中的電漿密度可為約1017 至約1019 離子/立方公尺。在一些實施例中,藉由HDP之沉積期間的壓力可介於約1 mTorr至約200 mTorr之間。 實驗
執行實驗以量測在一特定應力位準之硫摻雜及未摻雜碳硬遮罩之蝕刻速率。實驗係在1.8 Torr之製程壓力執行。以下電漿功率係針對四站工具而提供於300mm基板上。
未摻雜碳硬遮罩係藉由流動2500 sccm之乙炔(C2 H2 )、以在400 W之高頻(HF)功率及1000 W之低頻(LF)功率的雙射頻(RF)電漿所製造。
製造六硫摻雜碳硬遮罩。首先,2%硫摻雜碳硬遮罩係藉由流動2500 sccm之乙炔(C2 H2 )及50 sccm二硫化碳(CS2 )、以在400 W 之HF功率及1000 W之LF功率的雙RF電漿所製造。
第二2%硫摻雜碳硬遮罩係藉由流動625 sccm之乙炔(C2 H2 )、68 sccm之二硫化碳(CS2 )、及2750 sccm之氮(N2 )、以在400 W之HF功率及1000 W之LF功率的雙RF電漿所製造。
第一5%硫摻雜碳硬遮罩係藉由流動2500 sccm之乙炔(C2 H2 )、262 sccm之二硫化碳(CS2 )、1250 sccm之氦、及1500 sccm之氮(N2 )、以在400 W 之HF功率及1000 W之LF功率的雙RF電漿所製造。
第二5%硫摻雜碳硬遮罩係藉由流動625 sccm之乙炔(C2 H2 )、168 sccm之二硫化碳(CS2 )、1250 sccm之氦、及1500 sccm之氮(N2 )、以在400 W之HF功率及1000 W之LF功率的雙RF電漿所製造。
第三5%硫摻雜碳硬遮罩係藉由流動625 sccm之乙炔(C2 H2 )、168 sccm之二硫化碳(CS2 )、1250 sccm之氦、及1500 sccm之氮(N2 )、以在400 W之HF功率及400 W之LF功率的雙RF電漿所製造。
第四5%硫摻雜碳硬遮罩係藉由流動625 sccm之乙炔(C2 H2 )、168 sccm之二硫化碳(CS2 )、1250 sccm之氦、及1500 sccm之氮(N2 )、以在400 W之HF功率及400 W之LF功率的雙RF電漿所製造。
針對所有七堆疊量測應力及模數。針對每一硬遮罩量測對於氧化物/氮化物/氧化物/氮化物(ONON)堆疊及氧化物/多晶矽/氧化物/多晶矽(OPOP)堆疊之相對蝕刻速率。相對蝕刻速率係加以正規化以顯示摻雜硬遮罩與未摻雜硬遮罩相比在蝕刻速率改善方面的比較。結果係在下方歸納於表1。
整體來說,與未摻雜AHM相比,2%及5%硫摻雜AHM皆展現相關於ONON堆疊及OPOP堆疊兩者之較低蝕刻速率,因而展現較高的蝕刻選擇性。針對在一特定應力的AHM作比較。
於具有在介於-100MPa及0MPa之範圍內的應力位準之硬遮罩之間進行ONON蝕刻速率的比較。與2%硫摻雜AHM#1相比,5%硫摻雜AHM#1具有相關於ONON堆疊之較低蝕刻速率,其指出具有在5%之增加的摻雜之AHM具有較高蝕刻選擇性。同樣地,對於具有介於-200MPa及-100MPa之應力位準的硬遮罩而言,與2%硫摻雜AHM#1及未摻雜AHM相比,#3及#4的5%硫摻雜AHM顯示相關於ONON堆疊之較低蝕刻速率。與2%硫摻雜AHM及未摻雜AHM相比,5%硫摻雜AHM#2亦展現對於ONON堆疊之較低的相對蝕刻速率。
於未摻雜及摻雜硬遮罩之間進行OPOP蝕刻速率的比較。表1的結果顯示對於OPOP蝕刻而言,硫摻雜AHM(2%及5%變化形兩者)皆比未摻雜AHM具有更低的相對蝕刻速率。 結論
儘管前述實施例已為了理解之明晰的目的而詳加描述,然而若干改變及修改可在隨附請求項之範圍內實行將是顯而易見。應注意存在著許多實施當前實施例之製程、系統及設備的替代性方式。因此,應將當前之實施例視為例示性而非限制性,且該等實施例不受限於在此提供之細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
300‧‧‧反應器
302‧‧‧LF RF產生器
304‧‧‧HF RF產生器
306‧‧‧匹配網路
308‧‧‧歧管
310‧‧‧來源氣體管線
312‧‧‧入口
314‧‧‧噴淋頭
316‧‧‧基板
318‧‧‧基板支撐部
320‧‧‧加熱器塊體
322‧‧‧出口
324‧‧‧腔室
326‧‧‧真空泵
328‧‧‧系統控制器
圖1為顯示根據不同實施例之在蝕刻操作中使用可灰化硬遮罩的方法之相關操作的製程流程圖。
圖2為顯示根據不同實施例之形成硫摻雜可灰化硬遮罩的方法之相關操作的製程流程圖。
圖3顯示適於執行不同實施例之電漿增強化學氣相沉積(PECVD)腔室的範例之示意圖。

Claims (17)

  1. 一種在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,包含:提供一前驅物氣體至容納該半導體基板的一沉積腔室,該前驅物氣體包含一碳來源及一硫來源;及自該前驅物氣體產生電漿,以藉此透過電漿增強化學氣相沉積(PECVD)製程在該第一層上沉積一硫摻雜可灰化硬遮罩。
  2. 如申請專利範圍第1項之在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中沉積之該硫摻雜可灰化硬遮罩具有介於約0.5%及約5%之間的原子硫含量。
  3. 如申請專利範圍第2項之在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中沉積之該硫摻雜可灰化硬遮罩具有介於約60%及約90%之間的原子碳含量。
  4. 如申請專利範圍第2項之在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中沉積之該硫摻雜可灰化硬遮罩具有介於約13%及約26%之間的原子氫含量。
  5. 如申請專利範圍第1項之在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該硫摻雜可灰化硬遮罩具有介於約1000Å及約90,000Å之間的厚度。
  6. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該硫摻雜可灰化硬遮罩具有介於約-40MPa及約-400MPa之間的應力。
  7. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該第一層係選自由氧化物層、氮化物層、及多晶矽層所組成之群組。
  8. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該碳來源為甲烷、乙炔、或丙烯。
  9. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該硫來源為硫化氫(H2S)或二硫化碳(CS2)。
  10. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該硫來源為二硫化碳(CS2)且該碳來源為乙炔(C2H2)。
  11. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該碳來源及該硫來源係在該沉積腔室之上游合併。
  12. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,其中該碳來源及該硫來源係個別提供至該沉積腔室。
  13. 如申請專利範圍第1項至第5項之任一者的在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,更包含圖案化該硫摻雜可灰化硬遮罩層。
  14. 如申請專利範圍第13項之在半導體基板上的待蝕刻之第一層上形成可灰化硬遮罩的方法,更包含根據該硫摻雜可灰化硬遮罩之圖案蝕刻該第一層。
  15. 一種在半導體基板上形成硫摻雜非晶質碳基膜的方法,包含:將該半導體基板設置於一沉積腔室中,將該半導體基板曝露至包含一碳來源及一硫來源之一前驅物氣體,及藉由電漿增強化學氣相沉積(PECVD)製程在該半導體基板上沉積該硫摻雜非晶質碳基膜。
  16. 如申請專利範圍第15項之在半導體基板上形成硫摻雜非晶質碳基膜的方法,其中該硫摻雜非晶質碳基膜具有介於約0.5%及約5%之間的原子硫含量。
  17. 如申請專利範圍第15項或第16項之在半導體基板上形成硫摻雜非晶質碳基膜的方法,其中該硫來源為硫化氫(H2S)或二硫化碳(CS2)。
TW103133764A 2013-09-30 2014-09-29 硫摻碳硬遮罩 TWI644361B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884800P 2013-09-30 2013-09-30
US61/884,800 2013-09-30
US14/270,001 2014-05-05
US14/270,001 US9320387B2 (en) 2013-09-30 2014-05-05 Sulfur doped carbon hard masks

Publications (2)

Publication Number Publication Date
TW201528374A TW201528374A (zh) 2015-07-16
TWI644361B true TWI644361B (zh) 2018-12-11

Family

ID=52738893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103133764A TWI644361B (zh) 2013-09-30 2014-09-29 硫摻碳硬遮罩

Country Status (5)

Country Link
US (2) US9320387B2 (zh)
JP (1) JP6689565B2 (zh)
KR (2) KR102375870B1 (zh)
CN (1) CN104517815B (zh)
TW (1) TWI644361B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9307860B2 (en) 2014-02-14 2016-04-12 Remington Designs, Llc Processor control of solute extraction system
US9948268B2 (en) 2015-02-09 2018-04-17 Samsung Electro-Mechanics Co., Ltd. Multiband antenna having external conductor and electronic device including the same
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US11638499B2 (en) 2020-05-27 2023-05-02 Adrian Rivera Beverage brewer spray apparatus having multiple dispersion members
US10702096B2 (en) * 2015-10-30 2020-07-07 Adrian Rivera Brewing chamber cleaner
AU2016350142B2 (en) * 2015-11-06 2022-06-02 Cafetto Asia Pte. Ltd. Cleaning arrangement for capsule based beverage dispensers
CN106706710A (zh) * 2015-11-11 2017-05-24 中国科学院上海微系统与信息技术研究所 基于硫掺杂石墨烯的氮氧化物气体传感器及其制备方法
DE102016100724A1 (de) * 2016-01-18 2017-07-20 Miele & Cie. Kg Getränkeautomat mit automatischer Reinigungsvorrichtung
US10043672B2 (en) * 2016-03-29 2018-08-07 Lam Research Corporation Selective self-aligned patterning of silicon germanium, germanium and type III/V materials using a sulfur-containing mask
US20170280929A1 (en) * 2016-03-30 2017-10-05 Eco 2, Llc Descaling device for a beverage machine and method of descaling a beverage machine
US9622616B1 (en) 2016-03-30 2017-04-18 Eco 2, Llc Descaling pod for brewing machine
US20230180963A1 (en) * 2016-06-23 2023-06-15 Adrian Rivera Brewing Machine Cleaner
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
US11524268B2 (en) 2016-11-09 2022-12-13 Pepsico, Inc. Carbonated beverage makers, methods, and systems
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
CN111836762A (zh) * 2018-03-15 2020-10-27 帝斯曼知识产权资产管理有限公司 袋中袋包装系统
CN112041967A (zh) * 2018-04-24 2020-12-04 应用材料公司 碳硬掩模的等离子体增强化学气相沉积
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
TWI804638B (zh) 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
CN115039209A (zh) * 2019-12-31 2022-09-09 玛特森技术公司 用于硬掩模去除的系统和方法
KR20230078590A (ko) * 2020-09-29 2023-06-02 램 리써치 코포레이션 순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
TW200937517A (en) * 2008-02-21 2009-09-01 Applied Materials Inc Plasma etching carbonaceous layers with sulfur-based etchants
US20100151691A1 (en) * 2008-12-12 2010-06-17 Novellus Systems Inc. Method for improved thickness repeatability of pecvd deposited carbon films
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20120164836A1 (en) * 2010-12-22 2012-06-28 Globalfoundries Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
WO1990000243A1 (en) 1988-07-04 1990-01-11 Japan Oxygen Co., Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP2002504189A (ja) 1997-06-16 2002-02-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板の真空被覆方法および装置
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) * 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
JP4789035B2 (ja) * 1999-03-26 2011-10-05 独立行政法人科学技術振興機構 n型ダイヤモンドを用いた半導体デバイス
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20070132375A1 (en) 2003-11-13 2007-06-14 Bachmann Peter K Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) * 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
CN102292428B (zh) * 2009-01-30 2014-06-04 埃科莱布有限公司 羟基羧酸铝助洗剂的开发
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9023583B2 (en) 2010-10-14 2015-05-05 Nissan Chemical Industries, Ltd. Monolayer or multilayer forming composition
US9155418B2 (en) * 2010-11-15 2015-10-13 Conair Corporation Brewed beverage appliance and method
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
EP2604547A1 (en) * 2011-12-12 2013-06-19 Nestec S.A. A capsule for descaling a beverage preparation machine
DE102012011204A1 (de) * 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
TW200937517A (en) * 2008-02-21 2009-09-01 Applied Materials Inc Plasma etching carbonaceous layers with sulfur-based etchants
US20100151691A1 (en) * 2008-12-12 2010-06-17 Novellus Systems Inc. Method for improved thickness repeatability of pecvd deposited carbon films
US20120164836A1 (en) * 2010-12-22 2012-06-28 Globalfoundries Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning

Also Published As

Publication number Publication date
TW201528374A (zh) 2015-07-16
KR20220035900A (ko) 2022-03-22
US20150093915A1 (en) 2015-04-02
KR102375870B1 (ko) 2022-03-16
KR20150037641A (ko) 2015-04-08
KR102525779B1 (ko) 2023-04-25
CN104517815B (zh) 2017-08-22
US9320387B2 (en) 2016-04-26
CN104517815A (zh) 2015-04-15
US20150090300A1 (en) 2015-04-02
JP6689565B2 (ja) 2020-04-28
JP2015070270A (ja) 2015-04-13

Similar Documents

Publication Publication Date Title
TWI644361B (zh) 硫摻碳硬遮罩
JP2015070270A5 (zh)
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN108847386B (zh) 用于图案化应用的原子层蚀刻、反应性前体和能量源
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
JP2015111668A (ja) 先進のパターニングのためのソフトランディング・ナノラミネート
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
CN115793404A (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
KR20210076997A (ko) 3d nand 집적에 대해 개선된 에칭 선택도를 가지는 나이트라이드 막들
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善