JP2021534589A - 高アスペクト比エッチングのための金属含有パシベーション - Google Patents

高アスペクト比エッチングのための金属含有パシベーション Download PDF

Info

Publication number
JP2021534589A
JP2021534589A JP2021508286A JP2021508286A JP2021534589A JP 2021534589 A JP2021534589 A JP 2021534589A JP 2021508286 A JP2021508286 A JP 2021508286A JP 2021508286 A JP2021508286 A JP 2021508286A JP 2021534589 A JP2021534589 A JP 2021534589A
Authority
JP
Japan
Prior art keywords
protective film
etching
feature
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021508286A
Other languages
English (en)
Inventor
コリンジバディ・カーシク・エス.
タン・サマンサ・シャムファ
リー・シー−ケド
マタミス・ジョージ
ユー・ヨンシク
パン・ヤン
ヴァン・クリープト・パトリック
シンハル・アキル
ガオ・ジュウェン
フマユン・ラーシナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021534589A publication Critical patent/JP2021534589A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】本明細書の様々な実施形態は、基板にフィーチャをエッチングするための方法、装置、およびシステムに関する。通常、フィーチャは誘電体含有積層にエッチングされる。エッチングプロセスは、周期的にフィーチャをエッチングすることと、部分的にエッチングされたフィーチャの側壁に保護膜を堆積させることと、を含む。これらの工程は、フィーチャがその最終深さに達するまで繰り返される。保護膜は、例えば炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物、炭窒化ルテニウム、硫化ルテニウム、炭窒化アルミニウム、硫化アルミニウム、ジルコニウム、およびジルコニウム含有化合物のうちの少なくとも1つを含むなど、特定の成分を有してよい。例えばマスク層の浸漬、堆積前の基板の前処理、側壁からの保護膜の除去、および残留保護膜の酸化、を含むいくつかの選択的な工程が行われてよい。【選択図】図2

Description

[関連出願の相互参照]
本願は、全ての目的のために参照として本明細書に援用される、2018年8月24日付けの米国出願第62/722,337号の優先権の利益を主張する。
本明細書の実施形態は、誘電材料にフィーチャをエッチングすることに関して説明される。このフィーチャは、例えば円筒形またはトレンチであってよい。
半導体デバイスの製造時によく用いられる1つのプロセスは、誘電材料におけるエッチングされた円筒形またはトレンチの形成である。かかるプロセスが生じうる例示的な状況は、DRAMおよび3D NAND構造などのメモリ応用を含むが、それに限定されない。半導体産業が発展し、デバイス寸法が小さくなるにつれて、かかるフィーチャ、特に幅狭および/または深い深度を有する高アスペクト比フィーチャについては、均一にエッチングすることがますます困難になる。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
本明細書の特定の実施形態は、基板上の誘電体含有積層にエッチングフィーチャを形成するための方法および装置に関する。
本明細書の実施形態の一態様では、基板上の誘電体含有積層にエッチングフィーチャを形成する方法が提供される。この方法は、(a)エッチング反応物を含む第1のプラズマに基板を曝すことで、誘電体含有積層にフィーチャを部分的にエッチングする工程と、(b)(a)の後に、フィーチャの側壁に保護膜を堆積させる工程であって、保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物、炭窒化ルテニウム、硫化ルテニウム、炭窒化アルミニウム、硫化アルミニウム、ジルコニウム、およびジルコニウム含有化合物のうちの少なくとも1つを含む、工程と、(c)フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返す工程であって、(b)で堆積された保護膜は、(a)の間にフィーチャの側面のエッチングを実質的に防ぎ、フィーチャは最終深さで約5以上のアスペクト比を有する、工程と、を含む。
いくつかの実施形態では、保護膜は、炭窒化タングステンまたは硫化タングステンを含んでよい。例えば、保護膜は炭窒化タングステンを含んでよい。いくつかの場合では、保護膜は、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、または硫化スズを含んでよい。例えば、保護膜は酸化スズを含んでよい。いくつかの実施形態では、保護膜は、モリブデン、酸化モリブデン、炭化モリブデン、窒化モリブデン、炭窒化モリブデン、または硫化モリブデンを含んでよい。様々な実施形態では、保護膜は金属硫化物を含んでよい。特定の場合では、保護膜は、炭窒化ルテニウムまたは硫化ルテニウムを含んでよい。いくつかの実施形態では、保護膜は、炭窒化アルミニウムまたは硫化アルミニウムを含んでよい。いくつかの実施形態では、保護膜は、ジルコニウム、酸化ジルコニウム、炭化ジルコニウム、窒化ジルコニウム、炭窒化ジルコニウム、または硫化ジルコニウムを含んでよい。
様々な実施形態では、(b)は、(i)基板を第1の堆積反応物に暴露し、第1の堆積反応物がフィーチャの側壁に吸着するようにする工程と、(ii)(i)の後に、基板を第2の堆積反応物に暴露し、第1の堆積反応物および第2の堆積反応物を表面反応で反応させることで、フィーチャの側壁に保護膜を形成する工程と、を含む原子層堆積反応によって保護膜を堆積させる工程を含む。いくつかの他の実施形態では、(b)は、基板を第1の堆積反応物および第2の堆積反応物に同時に暴露する工程を含む化学蒸着反応によって保護層を堆積させる工程を含む。
この方法はさらに、(a)より前に誘電体含有積層上のマスク層を浸漬する工程を含む。いくつかの実施形態では、(a)は、フィーチャの側壁へのフッ化炭素系被膜の形成をもたらしてよく、この方法はさらに、(a)の後であって(b)の前に基板を前処理することで、フッ化炭素系被膜を除去するまたは変質させる工程であって、基板の前処理は、(i)N2およびH2を含むガス、または(ii)O2および不活性ガスを含むガスのいずれかから生成されたプラズマに基板を暴露することを含む、工程を含む。いくつかのかかる場合では、保護膜は炭窒化タングステンを含んでよい。いくつかの実施形態では、(a)は、フィーチャの側壁へのフッ化炭素系被膜の形成をもたらしてよく、保護膜は酸化スズを含んでよく、酸化スズ保護膜は(b)において(a)で形成されたフッ化炭素系被膜に直接堆積される。
いくつかの実施形態では、この方法はさらに、フィーチャが完全にエッチングされた後に保護膜を側壁から除去する工程を含んでよい。様々な実施形態では、保護膜は炭窒化タングステンを含んでよく、基板をH2O2、SCl、またはCl2O2を含むガスから生成されたプラズマに暴露することにより除去されてよい。いくつかの場合では、この方法はさらに、フィーチャが完全にエッチングされた後に基板を酸化条件に暴露することで、フィーチャの側壁上の残留保護膜を酸化させる工程を含んでよい。
本明細書の実施形態の別の態様では、本明細書に記載のあらゆる方法を行わせるように構成されたコントローラを備える装置が提供される。例えば、半導体基板上の誘電体含有積層にエッチングフィーチャを形成するための装置が提供される。この装置は、1つ以上の反応チャンバであって、少なくとも1つの反応チャンバはエッチングを行うように設計または構成され、少なくとも1つの反応チャンバは堆積を行うように設計または構成され、各反応チャンバは、反応チャンバにプロセスガスを導入するための導入口と、反応チャンバから材料を除去するための導出口と、を備える、1つ以上の反応チャンバと、コントローラであって、(a)エッチング反応物を含む第1のプラズマに基板を暴露することにより、誘電体含有積層にフィーチャを部分的にエッチングさせるための命令であって、(a)は、エッチングを行うように設計または構成された反応チャンバで実施される、命令と、(b)(a)の後にフィーチャの側壁に保護膜を堆積させるための命令であって、保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、およびモリブデン含有化合物のうちの少なくとも1つを含み、(b)は、堆積を行うように設計または構成された反応チャンバで実施される、命令と、(c)フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返し行わせるための命令であって、(b)で堆積された保護膜は、(a)の間にフィーチャの側面のエッチングを実質的に防ぎ、フィーチャは最終深さで約5以上のアスペクト比を有する、命令と、を有するコントローラと、を備える。
特定の実施形態では、(a)および(b)の両方が同じ反応チャンバで起こるように、エッチングを行うように設計または構成された反応チャンバは、堆積を行うように設計または構成された反応チャンバと同じであってよい。他の実施形態では、エッチングを行うように設計または構成された反応チャンバは、堆積を行うように設計または構成された反応チャンバと異なってよく、コントローラはさらに、エッチングを行うように設計または構成された反応チャンバと堆積を行うように設計または構成された反応チャンバとの間で基板を真空条件下で搬送するための命令を含んでよい。
これらの特徴および他の特徴は、関連図面を参照して以下に説明される。
側壁のオーバエッチングによる望ましくないたわみを有するエッチングされた円筒形。
特定の実施形態による誘電材料にフィーチャをエッチングする方法を説明するフローチャート。
特定の実施形態により経時的にフィーチャがエッチングされる部分的に製造された半導体デバイス。 特定の実施形態により経時的にフィーチャがエッチングされる部分的に製造された半導体デバイス。 特定の実施形態により経時的にフィーチャがエッチングされる部分的に製造された半導体デバイス。
特定の実施形態により本明細書に記載のエッチングプロセスを実施するのに用いられうる反応チャンバ。 特定の実施形態により本明細書に記載のエッチングプロセスを実施するのに用いられうる反応チャンバ。 特定の実施形態により本明細書に記載のエッチングプロセスを実施するのに用いられうる反応チャンバ。
特定の実施形態により本明細書に記載の堆積プロセスを実施するのに用いられる反応チャンバ。
特定の実施形態において堆積プロセスを実施するのに用いられうるマルチステーション装置。
特定の実施形態により堆積およびエッチングの両方を実行するのに用いられうるクラスタツール。
本明細書に記載の保護膜に関する利点を示す実験結果。
I.誘電材料に高アスペクト比のフィーチャをエッチングするための技術
特定の半導体デバイスの製造は、誘電材料へのフィーチャのエッチングを含む。誘電材料は、材料の単層または材料の積層であってよい。いくつかの場合では、積層は誘電材料の交互層(例えば、窒化シリコンおよび酸化シリコン)を含む。エッチングフィーチャの一例は、高アスペクト比を有しうる円筒形である。かかるフィーチャのアスペクト比が増加し続けるにつれて、誘電材料にフィーチャをエッチングすることはますます困難となっている。高アスペクト比フィーチャのエッチング時に生じる1つの問題は、不均一なエッチングプロファイルである。つまり、フィーチャは直下方向にエッチングされない。その代わり、フィーチャの側壁は、エッチングフィーチャの中央部がフィーチャの上部および/または底部よりも広くなる(すなわち、より多くエッチングされている)ようにたわむことが多い。フィーチャの中央部付近におけるこのオーバエッチングは、残留材料の構造的および/または電子的完全性の欠陥をもたらしうる。例えば、フィーチャのたわみおよびねじれは、隣接するメモリストリング間で短絡または干渉を引き起こしうる。
動作の理論または機構に制約されることなく、円筒形または他のフィーチャの中央部でオーバエッチングが起こるのは、少なくとも部分的に、フィーチャの側壁がエッチングから十分に保護されていないことが原因と考えられる。従来のエッチング化学物質は、誘電材料にフィーチャを形成するためにフッ化炭素エッチング液を用いる。フッ化炭素エッチング液はプラズマ暴露によって励起され、例えばCF、CF2、およびCF3を含む様々なフッ化炭素フラグメントの形成をもたらす。反応性フッ化炭素フラグメントは、イオンの助けを伴ってフィーチャ底部の誘電材料をエッチングする。他のフッ化炭素フラグメントは、エッチングされるにつれてフィーチャの側壁に堆積することで、高分子フッ化炭素系保護側壁被膜を形成する。この保護側壁被膜は、フィーチャの側壁に対するフィーチャの底部の優先的なエッチングを促す。この側壁保護がないと、フィーチャは、側壁保護が不十分な箇所でより広いエッチング/フィーチャ幅を有する不均一なプロファイルになり始める。
高アスペクト比フィーチャにおいて、側壁保護は特に実現することが難しい。この難しさの一因は、既存のフッ化炭素に基づくプロセスが、エッチングされる円筒形の深くに高分子保護側壁被膜を形成できないことである。図1は、パターンマスク層106で被覆された誘電材料103にエッチングされている円筒形102の図を表す。以下の説明は円筒形について言及することがあるが、その概念は、トレンチ、長方形、および他の多角形など他のフィーチャ形状にも当てはまる。高分子保護側壁被膜104は、円筒形102の上部付近に集中している。Cxy化学物質は、円筒形を垂直にエッチングするためのエッチング反応物、および、高分子保護側壁被膜104を形成する反応物の両方を提供する。高分子保護側壁被膜104は円筒形の深くまで伸びない(すなわち、側壁への堆積が不十分)ため、円筒形102の中央部は円筒形102の上部よりも広くなる。円筒形102の広い中央部は、たわみ105と呼ばれる。たわみは、たわみ領域(比較的広い領域)におけるフィーチャの限界寸法と、たわみ領域より下のフィーチャの限界寸法との比較の点から数値的に説明されうる。たわみは、距離(例えば、フィーチャの最も広い部分の限界寸法−たわみより下のフィーチャの最も狭い部分の限界寸法)の点から、または、比率/割合(フィーチャの最も広い部分の限界寸法÷たわみより下のフィーチャの最も狭い部分の限界寸法)の点から数値的に報告されてよい。このたわみ105および関連する不均一なエッチングプロファイルは望ましくない。この種のエッチングプロセスでは高イオンエネルギが用いられることが多いため、高アスペクト比の円筒形をエッチングするときにたわみが生じやすい。いくつかの適用では、たわみは約5の低いアスペクト比でさえ生じる。そのため、従来のフッ化炭素エッチング化学物質は通常、誘電材料に比較的低いアスペクト比の円筒形を形成することに限定される。いくつかの現在の用途では、従来のエッチング化学物質で実現されうる円筒形よりも高いアスペクト比を有するものが必要とされる。
II.状況および適用
本明細書の様々な実施形態では、フィーチャは表面に誘電材料を有する基板(通常、半導体ウエハ)にエッチングされる。エッチングプロセスは一般に、プラズマによるエッチングプロセスである。全フィーチャ形成プロセスは段階的に生じてよく、1つの段階は誘電材料のエッチングに向けられ、別の段階は誘電材料を実質的にエッチングしない保護側壁被膜の形成に向けられる。保護側壁被膜は側壁を不動態化し、フィーチャがオーバエッチングされるのを防ぐ(すなわち、側壁被膜はフィーチャの側面エッチングを防ぐ)。これらの2つの段階は、フィーチャが最終深さにエッチングされるまで繰り返されうる。これらの2つの段階を繰り返すことにより、フィーチャの深さ全体にわたるフィーチャの直径が制御されることで、より均一な直径/向上したプロファイルを有するフィーチャが形成されうる。追加の段階は、フィーチャを部分的にエッチングした後であって保護側壁被膜を堆積させる前に側壁面を処理することと、フィーチャが完全にエッチングされた後に保護側壁被膜を除去または処理することと、を含んでよい。いくつかの場合では、マスク層は浸漬されてよい、またはフィーチャのエッチング前に処理されてよい。
本明細書のいくつかの実施形態では、保護側壁被膜は特定の成分を有する金属含有膜である。本明細書に記載されたような金属含有膜は、他の種類の膜(酸化シリコン、窒化ボロン、炭化水素重合体、および特定の他の種類の金属または金属含有層など)と比べて向上したエッチング耐性/側壁保護を提供することが示された。向上したエッチング結果を示す実験結果は、以下の実験項に示されている。
いくつかの特定の金属含有膜は誘電膜よりも高い導電性を有するため、保護側壁被膜のように有益でありうる。以下の説明に限定されることなく、より高い導電性は、エッチングプロセス中に部分的にエッチングされたフィーチャにおいて望まない帯電の影響を受ける危険性を低減する。エッチングプロセス中に、部分的にエッチングされたフィーチャの上部と底部との間で電位差が生じうる。この電位差は、一定割合のイオンがフィーチャの底部に望み通り進まないようにイオンを跳ね返すよう作用する可能性がある。例えば、フィーチャの底部まで進むイオンは、(a)フィーチャをエッチングしないようにフィーチャから跳ね返されて、または(b)側壁がオーバエッチングされるようにフィーチャの側壁に跳ね返されて、フィーチャに望ましくないたわみおよび垂直エッチング速度の低減をもたらす可能性がある。その一方で、より大きい導電性の金属含有膜が保護側壁被膜として用いられる場合、より大きい導電性の膜は、エッチング中に凹状フィーチャの異なる部分の間の電位差がないように(または、電位差が最小限であるように)フィーチャ内の帯電が消散することを可能にするだろう。これにより、イオンが望ましくないように反発する可能性が低減し、向上したプロファイルおよび/または垂直エッチング速度の増加がもたらされる。
様々な実施形態で特定の金属含有膜が有利になりうる別の要因は、他の種類の側壁保護膜と比べてエッチング動作中に異なる側壁面を提供できることである。これは、エッチング中の側壁面における異なる表面化学反応性および触媒作用をもたらす可能性がある。結果の1つとして、フィーチャの底部に到達する種のセットは、他の種類の保護側壁膜を用いてフィーチャの底部に到達する種のセットとは異なってよい。例えば、金属含有膜はフィーチャの底部に到達する中性原子および中性分子の異なる種分化をもたらし、エッチング速度、エッチングプロファイル、ストリエーション、および歪みの点で良い影響を与えてよい。
さらに、特定の金属含有膜は、多くの場合に所望の程度の共形性で堆積されてよい。様々な金属含有膜は、酸化シリコンならびに多くの他のシリコン含有膜およびボロン含有膜よりも高い共形性で堆積することができる。この向上した共形性は、少なくとも堆積段階にフィーチャの上部がブロックされる可能性を低減するため有利である。さらに、かかる膜の共形性は、保護側壁被膜の所望の深さまでの堆積を目指すように調節されうる。この調節は、保護側壁被膜がたわみ領域で形成されることで、確実に最初からたわみが生じることを防ぐことができる。これは、保護側壁被膜が非常に深い深度(例えば、フィーチャの底部付近、たわみ領域より下)では比較的薄いまたは存在しないため、確実にフィーチャを継続して誘電材料まで垂直下向きにエッチングすることを可能にする。
本明細書の実施形態は、より深いエッチングを可能にすることについて特に有効である。以前は、実現可能な最大エッチング深さは、エッチング中に生じるたわみに制限されていた。本明細書に記載の保護膜は、かかるたわみを低減または排除することでより深いフィーチャのエッチングを可能にする。さらに、本明細書の実施形態はデバイス実装密度の増加を可能にする、すなわち、個々の半導体基板上に形成されるデバイスの数が最大限になりうる。たわみの減少または排除は、構造的および電気的な完全性を維持しながらフィーチャが互いにより近接して形成されることを可能にする。
フィーチャとは、基板の表面における凹所である。フィーチャは、円筒形、長方形、四角形、他の多角形の凹所、トレンチなどを含むが、それらに限定されない多くの異なる形状を有しうる。
アスペクト比とは、フィーチャの深さと限界寸法(幅/直径であることが多い)との比較である。例えば、2μmの深さおよび50nmの幅を有する円筒形は40:1(より簡略して40と記載されることが多い)のアスペクト比を有する。フィーチャは深さに対して不均一な限界寸法を有する可能性があるため、アスペクト比は測定される箇所に応じて異なりうる。例えば、エッチングされた円筒形は、上部および底部よりも広い中間部を有することがあるだろう。このより広い中間部は、上記のようにたわみと呼ばれてよい。円筒形の上部(例えば、ネック)の限界寸法に基づいて測定されたアスペクト比は、円筒形のより広い中間部/たわみ部の限界寸法に基づいて測定されたアスペクト比よりも高くなるだろう。本明細書においてアスペクト比は、特記されない限りフィーチャの開口部付近の限界寸法に基づき測定される。
本開示の方法により形成されたフィーチャは、高アスペクト比のフィーチャであってよい。いくつかの適用では、高アスペクト比フィーチャは少なくとも約5、少なくとも約10、少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約50、少なくとも約60、少なくとも約80、または少なくとも約100のアスペクト比を有するフィーチャである。本開示の方法により形成されたフィーチャの限界寸法は、約200nm以下(例えば、約100nm以下、約50nm以下、または約20nm以下)であってよい。いくつの場合では、フィーチャは全体を通じて約80〜100nmの限界寸法を有する。
フィーチャがエッチングされる材料は、様々な場合では誘電材料であってよい。例示的な材料は、酸化シリコン、窒化シリコン、炭化シリコン、酸窒化物、酸炭化物、炭窒化物、これらのドープ型材料(例えば、ボロン、リンなどによるドープ型材料)、および、これら材料の組み合わせの積層を含むが、これらに限定されない。特定の例示的な材料は、SiO2、SiN、SiON、SiOC、SiCNなどの定比および不定比の調合形態を含む。エッチングされる材料は、他の元素(例えば、様々な場合では水素)を含んでもよい。いくつかの実施形態では、エッチングされる窒化物材料および/または酸化物材料は、水素を含む成分を有する。本明細書では、酸化ケイ素材料、窒化ケイ素材料などは定比および不定比の両方の型を含み、かかる材料は上記のように他の元素を含んでよいことが理解される。
本開示の方法の1つの適用は、DRAMデバイスの形成に関する。この場合、フィーチャは主に酸化シリコンにエッチングされてよい。基板は、例えば1枚、2枚、またはそれ以上の層の窒化シリコンを含んでもよい。一例では、基板は2つの窒化シリコン層の間に挟まれた酸化シリコン層を含み、酸化シリコン層は約800〜1200nmの厚さであり、1つ以上の窒化シリコン層は約300〜400nmの厚さである。エッチングフィーチャは、約1〜3μm(例えば、約1.5〜2μm)の最終深さを有する円筒形であってよい。円筒形は、20〜50nm(例えば、約25〜30nm)の幅を有してよい。円筒形がエッチングされた後に、その中にキャパシタメモリセルが形成されうる。
本開示の方法の別の適用は、垂直NAND(VNAND、3D NANDとも呼ばれる)デバイスの形成に関する。この場合は、フィーチャがエッチングされる材料は繰り返しの層構造を有してよい。例えば、材料は酸化物(例えば、SiO2)および窒化物(例えば、SiN)の交互層、または酸化物(例えば、SiO2)およびポリシリコンの交互層を含んでよい。交互層は材料の組を形成する。いくつかの場合では、組の数は少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約60、または少なくとも約70であってよい。酸化物層は、約20〜50nm(例えば、約30〜40nm)の厚さを有してよい。窒化物層またはポリシリコン層は、約20〜50nm(例えば、約30〜40nm)の厚さを有してよい。交互層にエッチングされたフィーチャは、約2〜6μm(例えば、約3〜5μm)の深さを有してよい。フィーチャは、約50〜150nm(例えば、約50〜100nm)の幅を有してよい。
III.エッチング/堆積プロセス
図2は、半導体基板にエッチングフィーチャを形成する方法のフローチャートを表す。図2に示された動作は、フィーチャがエッチングされるに伴い部分的に製造された半導体基板を示す図3A〜3Cに関して説明されている。基板は、マスク層306で覆われた誘電材料303を含む。誘電材料303は、上述のように材料の交互層(例えば、酸化シリコンおよび窒化シリコンの交互層、または酸化シリコンおよびポリシリコンの交互層)を含んでよい。
動作201では、必要に応じてマスク層306が浸漬される。多くの場合、マスク層306は炭素(例えば、非晶質炭素)であってよい。様々な場合では、マスク層306は金属に浸漬されてよい。例示的なドーパントは、タングステン、ボロン、スズ、モリブデン、ルテニウム、アルミニウム、ジルコニウム、およびこれらの組み合わせを含むが、これらに限定されない。いくつかの実施形態では、マスク層306は、保護側壁被膜で用いられた金属と同じ金属に浸漬される。一例では、マスク層306はタングステンに浸漬され、後に堆積される保護側壁膜(例えば、図3Bおよび図3Cに示される保護膜310)は炭窒化タングステン(WCN)または硫化タングステン(WS)である。別の例では、マスク層306はスズに浸漬され、後に堆積される保護側壁膜(例えば、図3Bおよび図3Cに示される保護膜310)はスズ(Sn)、酸化スズ(SnOx)、窒化スズ(SnN)、炭化スズ(SnC)、炭窒化スズ(SnCN)、硫化スズ(SnS)、またはこれらの組み合わせである。別の例では、マスク層306はモリブデンに浸漬され、後に堆積される保護側壁膜(例えば、図3Bおよび図3Cに示される保護膜310)はモリブデン(Mo)、酸化モリブデン(MoOx)、炭化モリブデン(MoC)、窒化モリブデン(MoN)、炭窒化モリブデン(MoCN)、硫化モリブデン(MoS)、またはこれらの組み合わせである。
マスク層306の浸漬は、マスク層がエッチングに対してより耐性があるようにしてよい。これにより、より薄いマスク層および/またはより深いフィーチャの形成が可能になってよい。多くの場合、フィーチャの深さは、エッチング中にマスク層306が浸食される速度によって制限される。ひとたびマスク層306が十分に浸食されると、残りの構造に損傷を与えずにフィーチャをより深くエッチングすることはできない。従ってマスク層306のエッチング耐性の増加は、マスク層がより遅く浸食され、エッチングがより長期間に継続されうるため、より深いフィーチャの形成を可能にする。
次に動作203では、図3Aに示されるようにフィーチャ302は第1の深さまでエッチングされる。この第1の深さは、フィーチャの所望の最終深さのうちのわずかでしかない。フィーチャをエッチングするのに用いられる化学物質は、フッ化炭素系化学物質(Cxy)であってよい。他のエッチング化学物質が用いられてもよい。このエッチング動作201は、フッ化炭素系被膜304の形成をもたらしてよい。フッ化炭素系被膜304は、図1に関して説明されたように高分子側壁被膜であってよい。フッ化炭素系被膜304は第1の深さまで伸びるが、多くの場合、フッ化炭素系被膜304は実際にフィーチャ302の底部に到達しない。基板をエッチングするための例示的な処理条件は、以下にさらに説明される。
フッ化炭素系被膜304は、特定のフッ化炭素種/フラグメントがフィーチャの側壁に堆積するにつれてCxyエッチング化学物質から間接的に形成される(例えば、特定のフッ化炭素種は、フッ化炭素系被膜304の前駆体である)。フッ化炭素系被膜304がフィーチャ302の底部に到達しない一因は、被膜を形成する前駆体の付着係数に関係するだろう。とりわけ特定のエッチャントについては、フッ化炭素フラグメントの付着係数が高すぎることで、相当な大多数のフッ化炭素フラグメントがフィーチャに入ってすぐに側壁に付着すると思われる。そのため、結果として生じたフッ化炭素系被膜304は、側壁保護が必要なフィーチャに(例えば、たわみが形成される領域に)深く浸透できない。よって、フッ化炭素系被膜304は、フィーチャ302の側壁のオーバエッチングに対して単に部分的な保護を提供する。いくつかの実施形態では、エッチング条件は、あったとしても側壁保護をほとんど提供しない。
次に動作205では、フッ化炭素系被膜304を除去する、そうでなければ処理するために、フィーチャの側壁は必要に応じて前処理される。図3Bおよび図3Cは側壁に残ったフッ化炭素系被膜304を示すが、いくつかの場合ではフッ化炭素系被膜304は除去されてよいことが理解される。いくつかの場合、側壁の前処理は基板のプラズマへの暴露を含む。特定の例では、プラズマはN2/H2の混合物を含む。かかるプラズマは、フッ化炭素皮膜304の除去、または最低でもこの材料からのフッ素の除去に有効であってよい。他の例示的な前処理は、アルゴンなどの不活性ガスとO2との混合物から生成されたプラズマへの基板の暴露を含んでよい。フッ化炭素系被膜304を除去するための例示的な処理条件は以下に提供される。
驚くべきことに、いくつかの場合では、フッ化炭素系被膜304の除去が実際に後のエッチング工程において向上した側壁保護をもたらす。この保護の向上は、保護膜310(以下にさらに説明される)がフッ化炭素系被膜304のフッ化炭素系材料の上でうまく核形成しないためだろう。その場合、保護膜310が形成するより望ましい表面を提供するために、フッ化炭素皮膜304を除去することが有効である。他の場合では、第1の側壁被膜310が側壁に残るようにすることで側壁保護が最適化されてよい。これらの場合には、フッ化炭素系被膜304の上に直接第2の側壁被膜が堆積される。いくつかのかかる場合には、フッ化炭素系被膜304および保護膜310は共に、いずれの材料単体よりも硬くエッチング耐性の高い材料を形成する。
フッ化炭素系被膜304が除去されない一例では、保護膜310はスズを含んでよい。例えば保護膜310は、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、硫化スズ、またはこれらの組み合わせであってよい。いくつかのかかる材料(例えば、酸化スズ)は、フッ化炭素系被膜304のフッ化炭素系材料と相乗的に作用して、高品質の側壁パッシベーションおよびエッチング結果を提供することが示されている。フッ化炭素系被膜304が除去される別の例では、保護膜310はタングステンを含んでよい。例えば、保護膜310は、タングステン、炭窒化タングステン、窒化タングステン、炭化タングステン、酸化タングステン、硫化タングステン、またはこれらの組み合わせであってよい。特定の例では、保護膜310は炭窒化タングステンである。
次に動作207では、部分的にエッチングされたフィーチャの側壁(場合によっては、フッ化炭素系被膜304)の上に保護膜310が堆積される。この堆積は、化学蒸着(CVD)法および原子層堆積(ALD)法(いずれもプラズマ支援型であってよい、またはプラズマ支援型でなくてよい)を含むがそれらに限定されない様々な反応機構によって生じてよい。ALD法は、所望の深さの保護膜310を堆積するために調節可能な共形性の膜を形成するのに特に適している。例えばALD法は、その吸着主導の本質から、所望の深さまで反応物を送達するのに役立つ。CVDおよび他の堆積プロセスは、様々な実施形態、特に堆積が調節可能な共形性で実行されうる場合に適しうる。保護膜310を堆積させるための処理条件は以下に提供される。
上述のようにいくつかの実施形態では、保護膜310は特定の成分を有する金属含有膜であってよい。特定の金属含有膜は、酸化シリコンなどの他の種類の膜と比べて向上したエッチング耐性/側壁保護を提供することが示されている。金属含有膜に含まれてよい例示的な金属は、タングステン、スズ、モリブデン、ルテニウム、アルミニウム、ジルコニウム、およびこれらの組み合わせを含むが、これらに限定されない。金属含有膜はさらに、窒素、炭素、ボロン、酸素、硫黄、および水素のうちの1つ以上を含む。いくつかの実施形態では、金属含有膜は、金属元素(例えば、タングステン元素、スズ元素、モリブデン元素、ルテニウム元素、アルミニウム元素、ジルコニウム元素)同様に金属製である。
いくつかの例では、保護膜310はタングステンを含んでよい。特定の例は、タングステン(W)、炭窒化タングステン(WCN)、炭化タングステン(WC)、酸化タングステン(WOx)、硫化タングステン(WS)、およびこれらの組み合わせを含む。一例では、保護膜はWCN、WS、またはこれらの組み合わせである。特定の例では、保護膜はWSである。いくつかの例では、保護膜310はスズを含んでよい。特定の例は、スズ(Sn)、酸化スズ(SnOx)、窒化スズ(SnN)、炭化スズ(SnC)、炭窒化スズ(SnCN)、硫化スズ(SnS)、およびこれらの組み合わせを含む。特定の例では、保護膜310はモリブデンを含んでよい。特定の例は、モリブデン(Mo)、酸化モリブデン(MoOx)、炭化モリブデン(MoC)、窒化モリブデン(MoN)、硫化モリブデン(MoS)、炭窒化モリブデン(MoCN)、およびこれらの組み合わせを含む。いくつかの例では、保護膜310はルテニウムを含んでよい。特定の例は、ルテニウム(Ru)、酸化ルテニウム(RuOx)、炭化ルテニウム(RuC)、窒化ルテニウム(RuN)、硫化ルテニウム(RuS)、炭窒化ルテニウム(RuCN)、およびこれらの組み合わせを含む。特定の例では、保護膜310はRuCNまたはRuSである。一例では、保護膜310はRuSである。いくつかの例では、保護膜310はアルミニウムを含んでよい。特定の例は、アルミニウム(Al)、酸化アルミニウム(AlOx)、炭化アルミニウム(AlC)、窒化アルミニウム(AlN)、硫化アルミニウム(AlS)、炭窒化アルミニウム(AlCN)、およびこれらの組み合わせを含む。特定の例では、保護膜310はAlCNまたはAlSである。一例では、保護膜310はAlSである。いくつかの例では、保護膜310はジルコニウムを含んでよい。特定の例は、ジルコニウム(Zr)、酸化ジルコニウム(ZrOx)、窒化ジルコニウム(ZrN)、炭化ジルコニウム(ZrC)、炭窒化ジルコニウム(ZrCN)、硫化ジルコニウム(ZrS)、およびこれらの組み合わせを含む。
上記の様々な材料は、エッチング中に優れた側壁保護を提供することが示されている。これらの材料は、様々な他の金属含有膜より優れた側壁保護を提供することが期待される。
次に動作209では、フィーチャが完全にエッチングされたかどうかが決定される。動作201〜動作209の第1の繰り返し後にフィーチャが完全にエッチングされないと、この方法は動作203からの開始を繰り返す。動作203〜動作209は、フィーチャが完全にエッチングされるまで繰り返される。
様々な実施形態では、1つ以上の動作の別の繰り返しの間に1つ以上の処理条件は変更されてよい。例えば、動作203の別の繰り返しは、異なるエッチング化学物質、異なるプラズマ条件(例えば、より高RF電力またはより低RF電力、より高周波またはより低周波、より高プラズマデューティサイクルまたはより低プラズマデューティサイクル)、異なるタイミング、異なる圧力、異なる基板温度、および/または、異なる反応物気化温度などを用いてよい。同様に、動作205の別の繰り返しは、異なる前処理化学物質、異なるプラズマ条件(例えば、より高RF電力またはより低RF電力、より高周波またはより低周波、より高プラズマデューティサイクルまたはより低プラズマデューティサイクル)、異なるタイミング、異なる圧力、および/または、異なる基板温度などを用いてよい。場合によっては、動作205はいくつかの繰り返しで実行されてよいが、他の繰り返しでは省かれてよい。動作207の別の繰り返しも同様に、異なる堆積化学物質、異なる蒸着機構、異なるプラズマ条件(例えば、より高RF電力またはより低RF電力、より高周波またはより低周波、より高プラズマデューティサイクルまたはより低プラズマデューティサイクル)、異なるタイミング、異なる圧力、異なる基板温度、および/または、異なる反応物気化温度などを用いてよい。
別々の繰り返しの間で1つ以上の処理条件を変更する1つの理由は、保護膜310の共形性を調節するためである。フィーチャの形状は、誘電材料により深くエッチングされるにつれて変化する。その結果、保護膜の最適位置/最適深さも変化する。たわみが形成し始める(または、機会があれば形成し始めるだろう)領域における保護膜を目指すことには利点がある。たわみ領域では保護膜は比較的厚く堆積されてよく、たわみ領域よりも下の深さでは保護膜は比較的薄い、または存在しない可能性がある。この厚み差は、フィーチャの底部が望み通りにエッチングされる/開かれることで、より垂直な側壁の形成が可能になる。この結果を実現するために、保護膜が堆積するごとに所望の深さまで保護膜が形成するように、堆積条件は動作207の各繰り返しの間に調節されうる。
原子層堆積によって保護膜が堆積される一例では、保護膜が形成される深さは前駆体の投与量を制御することにより調節されてよい。例えば、より少ない前駆体流量、より短い前駆体投与時間、より低い圧力、およびより低い気化器温度(例えば、送達より前に気化する液相反応物向け)は、各々、基板への吸着に利用可能な比較的少ない前駆体をもたらし、それによりフィーチャに深く伸びない保護膜をもたらす。その一方で、より多い前駆体流量、より長い前駆体投与時間、より高い圧力、およびより高い気化器温度は、各々、基板への吸着に利用可能な比較的多くの前駆体をもたらし、それによりフィーチャに深く伸びる保護膜をもたらす。化学蒸着によって保護膜が堆積される別の例では、保護膜が形成される深さはRF電力などのプラズマ条件を制御することにより調節されてよい。より高いRF電力では、より多くの前駆体種の解離が生じうるため、保護膜はより深くより共形に形成される。これに対して、より低いRF電力では、保護膜はより浅くより低い共形性で形成される。ALDおよびCVD以外の蒸着機構は、様々な場合に用いられてよい。様々な実施形態では、追加の繰り返しが実施され、フィーチャが誘電材料303により深くエッチングされるため、保護膜はフィーチャ内でより一層深い深度に形成される。
様々な実施形態では、エッチング動作203および保護膜堆積動作207は、上述のように周期的に何度か繰り返される。例えば、これらの動作は各々少なくとも2回(例えば、少なくとも3回、少なくとも5回)起こってよい。いくつかの場合、サイクル数(各サイクルは、エッチング動作203および保護膜堆積動作207を含む)は約2〜10回(例えば、約2〜5回)である。エッチング動作が起こる度にエッチング深さは増加する。サイクル間でエッチングされる距離は均一であってよい、または不均一であってよい。特定の実施形態では、追加のエッチングが実施されるにつれて各サイクルでエッチングされる距離は減少する(例えば、後で実施されたエッチング動作は、先に実施されたエッチング動作よりも狭くエッチングしてよい)。各堆積動作207で堆積される保護膜310の厚さはサイクル間で均一であってよい、またはかかる被膜の厚さは変化してよい。各サイクルにおける保護膜310の例示的な厚さは、約1〜10nm(例えば、約3〜5nm)であってよい。さらに、形成される被膜の種類は、サイクル間で均一であってよい、または異なってよい。
図2に戻ると、ひとたびフィーチャが完全にエッチングされると、この方法は動作211に続き、保護膜が必要に応じてフィーチャの側壁から除去される。保護膜は、ウェット洗浄法またはドライ洗浄法(例えば、プラズマ洗浄法)によって除去されてよい。保護膜の除去は、特定の実施形態では有効でありうる。様々な場合では、フィーチャに残る導電性材料がほとんどない、または全くないことを確実にすることが有利になるだろう。かかる導電性材料は、例えばデバイスの異なる層の間で望ましくない短絡を引き起こす可能性がある。さらにかかる材料は、ラインに持って行かれるおよび/または所望の材料でフィーチャを充填するその後の処理工程で問題となる可能性がある。保護膜を除去するための例示的な処理条件は、以下にさらに説明される。
動作213では、フィーチャ内のあらゆる残留保護膜は必要に応じて酸化されてよい。この工程は、側壁に電気絶縁性金属酸化物(例えば、WOx、SnOx、MoOx、RuOx、AlOx、またはZrOx)を形成してよい。動作211に関して説明されたように、様々な実施形態では、エッチング後にフィーチャに残る導電性材料がないことを確実にすることが有利になりうる。残留保護膜材料の電気絶縁性金属酸化物への転換は、フィーチャに残る導電性材料がないことを確実にする。残留保護膜を酸化させるための例示的な処理条件は、以下にさらに説明される。この方法は動作213の後に終了する。
エッチング動作203および堆積動作207は、同じ反応チャンバまたは異なる反応チャンバで起こってよい。一例では、エッチング動作203は第1の反応チャンバで起こり、堆積動作207は第2の反応チャンバで起こり、第1の反応チャンバおよび第2の反応チャンバは共に、クラスタツールなどのマルチチャンバ処理装置を形成する。特定の場合では、関連するチャンバの間で基板を搬送するためにロードロックおよび他の適切な真空シールが設けられてよい。基板は、ロボットアームまたは他の機械構造によって搬送されてよい。エッチングに用いられる反応チャンバは、例えば、カリフォルニア州フレモントに所在するラム・リサーチ・コーポレーションから入手可能な2300(登録商標)FlexTM製品ファミリからのFlexTM反応チャンバであってよい。堆積に用いられる反応チャンバは、共にラム・リサーチ・コーポレーションから入手可能なVector(登録商標)製品ファミリまたはAltus(登録商標)製品ファミリからのチャンバであってよい。エッチングおよび堆積の両方のための複合チャンバの使用は、基板を搬送する必要性が避けられるため特定の実施形態では有利であってよい。エッチングおよび堆積のための異なるリアクタの使用は、リアクタが各動作のために特別に最適化されることが望ましい他の実施形態において有利であってよい。関連する反応チャンバについては以下にさらに説明される。
上記のように堆積動作は、所望の共形性および深さの保護膜であって、エッチング動作中のフィーチャ側面のエッチングを最小限にするもしくは防止する保護膜を形成することにより、エッチング動作を最適化するのに役立つ。これにより、ほとんどまたは全くたわみのない非常に直立した側壁を有するエッチングフィーチャの形成が促進される。特定の実施形態では、少なくとも約80のアスペクト比を有する最終エッチングフィーチャは、約60%(最も広い限界寸法−それよりも下の最も狭い限界寸法/それよりも下の最も狭い限界寸法×100で測定)未満のたわみを有する。例えば、50nmの最も広い限界寸法および40nmの最も狭い限界寸法(40nmの限界寸法は、フィーチャの50nmの限界寸法より下に位置する)を有するフィーチャは、25%(100×(50nm−40nm)/40nm=25%)のたわみを有する。
IV.材料およびプロセス動作のパラメータ
A.基板
本明細書に開示の方法は、その上に誘電材料を有する半導体基板をエッチングするのに特に有効である。例示的な誘電材料は、酸化シリコン、窒化シリコン、炭化シリコン、酸窒化物、酸炭化物、炭窒化物、これらのドープ型材料(例えば、ボロン、リンなどで浸漬された材料)、およびこれらの材料の組み合わせによる積層を含む。特定の例示的な材料は、SiO2、SiN、SiON、SiOC、SiCNなどの定比および不定比の調合形態を含む。上記のように、エッチングされる誘電材料は、1種類/1層より多い材料を含んでよい。特定の場合には、誘電材料はSiNおよびSiO2の交互層、またはポリシリコンおよびSiO2の交互層に設けられてよい。さらなる詳細は上記に記載されている。基板は、エッチングされるべきフィーチャの箇所を規定するマスク層を上に有してよい。特定の場合には、マスク層は炭素またはシリコンである。マスクの例示的な厚さは、約500〜1500nmであってよい。
B.エッチングプロセス
様々な実施形態では、エッチングプロセスは、化学エッチング液を反応チャンバに(多くの場合シャワーヘッドを通じて)流す工程と、特にエッチング液からプラズマを生成する工程と、基板をプラズマに暴露する工程と、を含む反応性イオンエッチングプロセスである。プラズマは、エッチング液化合物を中性種およびイオン種(例えば、CF、CF2、およびCF3などの電荷物質または中性物質)に解離する。多くの場合、プラズマは容量結合プラズマであるが、必要に応じて他の種類のプラズマも用いられてよい。プラズマ中のイオンはウエハに向けられ、衝突に際して誘電材料のエッチングを行わせる。
エッチングプロセスを実施するのに用いられうる例示的な装置は、カリフォルニア州フレントに所在するラム・リサーチ・コーポレーションから入手可能な反応性イオンエッチングリアクタの2300(登録商標)FlexTM製品ファミリを含む。この種類のエッチングリアクタについては、各々がその全てにおいて参照として本明細書に援用される、米国特許第8,552,334号および米国特許第6,841,943号でより詳細に記載されている。
誘電材料にフィーチャをエッチングするためには様々な反応物のオプションが利用可能である。特定の場合では、エッチング化学物質は1つ以上のフッ化炭素を含む。これらの場合または他の場合では、エッチング化学物質はNF3などの他のエッチング液を含んでよい。1つ以上の共反応物が提供されてもよい。いくつかの場合では、酸素(O2)または別の酸化体が共反応物として提供される。酸素は、高分子保護側壁被膜(例えば、図3A〜図3Cのフッ化炭素系被膜304)の適度な形成に役立ちうる。
特定の実施形態では、エッチング化学物質は、フッ化炭素および酸素の組み合わせを含む。例えば一例では、エッチング化学物資はC46、C48、NF3、CO、CF4、およびO2を含む。他の従来型エッチング化学物質も非従来型化学物質と同様に用いられてよい。フッ化炭素は、約0〜500sccm(例えば、約10〜200sccm)の速度で流れてよい。C46およびC48が用いられる場合は、C46の流量は約10〜200sccmであってよく、C48の流量は約10〜200sccmであってよい。酸素の流量は、約0〜500sccm(例えば、約10〜200sccm)であってよい。NF3の流量は、約0〜500sccm(例えば、約10〜200sccm)であってよい。テトラフルオロメタンの流量は、約0〜500sccm(例えば、約10〜200sccm)であってよい。一酸化炭素の流量は、0〜500sccm(例えば、約10〜200sccm)であってよい。これらの流量は、1枚の300mmのウエハを処理するのに用いられる約50リットルのリアクタ容積に適している。本明細書の流量は、異なる大きさのリアクタについて適宜変更されてよく、他の大きさの基板の基板面積に基づき比例して変更されてよい。
いくつかの実施形態では、エッチング中の基板温度は約0〜100℃である。いくつかの実施形態では、エッチング中の圧力は約10〜50mTorrである。イオンエネルギは比較的高くてよい(例えば、約1〜10kV)。イオンエネルギは、印加されるRF電力によって決定される。様々な場合では、プラズマを生成するために2周波RF電力が用いられる。よってRF電力は、第1の周波数成分(例えば、約2MHz)および第2の周波数成分(例えば、60MHz)を含んでよい。各周波数成分で異なる電力が提供されてよい。例えば、第1の周波数成分(例えば、約2MHz)は、約3〜15kW(例えば、約10kW)の電力で提供されてよく、第2の周波数成分(例えば、約60MHz)は、約0.5〜6kWなど(例えば、約4kW)の低電力で提供されてよい。これらの電力レベルは、RF電力が1枚の300mmウエハに供給されることを前提としている。電力レベルは、追加の基板および/または他の大きさの基板の基板面積に基づき比例して変更されうる(それにより、基板に供給される均一な電力密度を維持する)。
エッチングプロセスの各サイクルは、誘電材料をある程度までエッチングする。各エッチングサイクル中にエッチングされる距離は、約10〜500nm(例えば、約50〜200nm)であってよい。全エッチング深さは、特定の適用に依存するだろう。いくつかの場合(例えば、DRAM)では、全エッチング深さは約1.5〜2μmであってよい。他の場合(例えば、VNAND)では、全エッチング深さは少なくとも約3μm(例えば、少なくとも約4μm、または少なくとも約8μm、または少なくとも約10μm)であってよい。これらまたは他の場合では、全エッチング深さは約15μm以下であってよい。
図3A〜図3Cについての説明で記載されたように、エッチングプロセスはフッ化炭素系被膜304を生成しうる。しかし、このフッ化炭素系被膜の深さはフィーチャの上部付近の領域に限定され、側壁保護が最も必要なフィーチャの内部に伸びない可能性がある。よって、本明細書に記載のように別の堆積動作が実施されて、たわみが形成される領域を狙って保護膜が形成される。
C.堆積プロセス
堆積プロセスは主に、エッチングフィーチャ内の側壁に保護層を堆積させるために実施される。この保護層は、高アスペクト比フィーチャであってもフィーチャ内の所望の深さまで伸びなければならない。フィーチャ内の所望の位置における保護層の形成は、比較的低い付着係数を有する反応物によって可能になってよい、および/または、前駆体投与因子を制御することにより可能になってよい。さらに、吸着制限堆積(例えば、ALD反応)に依存する反応機構は、フィーチャ内の所望の位置における保護層の形成を促進しうる。保護層の堆積は、フィーチャが部分的にエッチングされた後に始まる。図2の説明で記載されたように、堆積動作は、フィーチャが誘電材料に深くエッチングされるにつれて追加の側壁保護を形成するように、エッチング動作と共に繰り返されてよい。
いくつかの場合では、保護層の堆積は、フィーチャが最終深さの少なくとも約1/3までエッチングされた時点またはその後に始まる。いくつかの実施形態では、保護層の堆積は、フィーチャが少なくとも約2、少なくとも約5、少なくとも約10、少なくとも約15、少なくとも約20、または少なくとも約30のアスペクト比に達すると始まる。これらの場合または他の場合では、堆積はフィーチャが約4、約10、約15、約20、約30、約40、または約50のアスペクト比に達する前に始まってよい。いくつかの実施形態(例えば、最終フィーチャ深さが3〜4μmのVNANDの実施形態)では、堆積はフィーチャが少なくとも約1μmの深さ、または少なくとも約1.5μmの深さになった後に始まる。他の実施形態(例えば、最終フィーチャ深さが1.5〜2μmのDRAMの実施形態)では、堆積はフィーチャが少なくとも約600nmの深さ、または少なくとも約800nmの深さになった後に始まる。保護層の堆積を開始する最適時間は、側壁がオーバエッチングされてたわみが形成される直前である。この発生の的確なタイミングは、エッチングされるフィーチャの形状、エッチングされる材料、エッチングおよび保護層の堆積に用いられる化学物質、ならびに関連材料のエッチングおよび堆積に用いられるプロセス条件に依存する。
堆積プロセス中に形成される保護層は、特定の成分を有してよい。上述のように、保護層はエッチングフィーチャに深く浸透し、フィーチャをエッチングするのに用いられるエッチング化学物質に比較的耐性がなければならない。様々な場合では、保護層は、タングステン、スズ、および/またはモリブデンを含むなどの金属含有層であってよい。保護層に特に期待できる材料は、WCN、WS、Sn、SNOx、SnN、SnC、SnCN、SnS、Mo、MoOx、MoC、MoN、MoCN、MoS、RuCN、RuS、AlCN、AlS、Zr、ZrC、ZrN、ZrCN、ZrOx、およびZrOを含む。これらの材料は、エッチング中にフィーチャの側壁に存在するときは、約200:1から約1000:1のエッチング選択率を示してよい。つまり、エッチング中に誘電材料は保護膜よりも200〜1000倍早い速度で除去されてよい。様々な場合では、保護膜は少なくとも約500:1のエッチング選択率を示す。
保護膜が酸素を含む場合は、酸素含有反応物が用いられてよい。酸素含有反応物の例は、酸素(O2)、オゾン(O3)、亜酸化窒素(N2O)、一酸化窒素(NO)、二酸化窒素(NO2)、三酸化二窒素(N23)、四酸化二窒素(N24)、五酸化二窒素(N25)、一酸化炭素(CO)、二酸化炭素(CO2)、硫黄酸化物(SO)、二酸化硫黄(SO2)、酸素含有炭化水素(Cxyz)、水(H2O)、ホルムアルデヒド(CH2O)、硫化カルボニル(COS)、これらの混合物などを含むが、これらに限定されない。
保護膜が窒素を含む場合は、窒素含有反応物が用いられてよい。窒素含有反応物は、少なくとも1つの窒素を含み、例えば、窒素(N2)、アンモニア(NH3)、ヒドラジン(N24)、アミン類(例えば、炭素を含むアミン)(メチルアミン(CH5N)、ジメチルアミン((CH32NH)、エチルアミン(C25NH2)、イソプロピルアミン(C39N)、t−ブチルアミン(C411N)、ジ−t−ブチルアミン(C819N)、シクロプロピルアミン(C35NH2)、sec−ブチルアミン(C411N)、シクロブチルアミン(C47NH2)、イソアミルアミン(C513N)、2−メチルブタン−2−アミン(C513N)、トリメチルアミン(C39N)、ジイソプロピルアミン(C615N)、ジエチルイソプロピルアミン(C717N)、ジ−t−ブチルヒドラジン(C8202)、含芳香族アミン(アニリン、ピリジン、およびベンジルアミン))を含む。アミン類は、一級、二級、三級、または四級であってよい(例えば、テトラアルキルアンモニウム化合物)。窒素含有反応物は、窒素以外にヘテロ原子(例えば、ヒドロキシルアミン、t−ブチルオキシカルボニルアミン)を含むことができ、N−t−ブチルヒドロキシルアミンは窒素含有反応物である。他の例は、Nxy化合物(亜酸化窒素(N2O)、一酸化窒素(NO)、二酸化窒素(NO2)、三酸化二窒素(N23)、四酸化二窒素(N24)、および/または五酸化二窒素(N25)など)を含む。
保護膜が炭素を含む場合は、炭素含有反応物が用いられてよい。炭素含有反応物の例は、炭化水素(Cxy)、酸素含有炭化水素(Cxyz)、硫化カルボニル(COS)、二硫化炭素(CS2)、フッ化炭素(Cxy)、ハイドロフッ化炭素(Cxyz)などを含むが、これらに限定されない。
保護膜が硫黄を含む場合は、硫黄含有反応物が用いられてよい。硫黄含有反応物の例は、硫化水素(H2S)、硫化カルボニル(COS)などを含むが、これらに限定されない。
保護膜が金属を含む場合は、金属含有反応物が用いられてよい。例示的な金属は、タングステン、スズ、モリブデン、ジルコニウム、アルミニウム、およびルテニウムを含むが、これらに限定されない。
例示的なタングステン含有反応物は、ビス(ブチルシクロペンタジエニル)タングステン(IV)ジヨージド(C18262W)、ビス(tert−ブチルイミノ)ビス(tert−ブチルアミノ)タングステン((C49NH)2W(C49N)2)、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(VI)(((CH3)3CN)2W(N(CH3)22、ビス(シクロペンタジエニル)タングステン(IV)ジクロリド(C1010Cl2W)、ビス(シクロペンタジエニル)タングステン(IV)二水素化物(C1012W)、ビス(イソプロピルシクロペンタジエニル)タングステン(IV)二水素化物((C54CH(CH322WH2)、シクロペンタジエニルタングステン(II)トリカルボニル水素化物(CW)、テトラカルボニル(1,5−シクロオクタジエン)タングステン(0)(C12124W)、トリアミンタングステン(IV)トリカルボニル((NH33W(CO)3)、タングステンヘキサカルボニル(W(CO)6)などを含むが、これらに限定されない。
例示的なスズ含有反応物は、ビス[ビス(トリメチルシリル)アミノ]スズ(II)([[(CHSi]2N]2Sn)、ヘキサフェニルジスズ(IV)([(C653Sn]2)、テトラアリルスズ((H2C=CHCH2Sn)、テトラキス(ジエチルアミド)スズ(IV)([(C252N]4Sn)、テトラキス(ジメチルアミド)スズ(IV)([(CH32N]4Sn)、テトラメチルスズ(Sn(CH34)、テトラビニルスズ(Sn(CH=CH24、スズ(II)アセチルアセトネート(C10144Sn)、トリメチル(フェニルエチニル)スズ(C65C≡CSn(CH33)、トリメチル(フェニル)スズ(C65Sn(CH33)などを含むが、これらに限定されない。
例示的なモリブデン含有反応物は、(ビシクロ[2.2.1]ヘプタ−2,5−ジエン)テトラカルボニルモリブデン(0)(C118MoO4)、ビス(シクロペンタジエニル)モリブデン(IV)ジクロリド(C1010Cl2Mo)、シクロペンタジエニルモリブデン(II)トリカルボニル(C1610Mo26)、モリブデンヘキサカルボニル(Mo(CO)6)、(プロピルシクロペンタジエニル)モリブデン(I)トリカルボニル(C2222Mo26)などを含むが、これらに限定されない。
例示的なルテニウム含有反応物は、ビス(シクロペンタジエニル)ルテニウム(II)(C1010Ru)、ビス(エチルシクロペンタジエニル)ルテニウム(II)(C79RUC79)、トリルテニウムドデカカルボニル(RU3(CO)12)などを含むが、これらに限定されない。
例示的なアルミニウム含有反応物は、アルミニウムトリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)(Al(OCC(CH33CHCOC(CH333)、トリイソブチルアルミニウム([(CH32CHCH23Al)、トリメチルアルミニウム((CH33Al)、トリス(ジメチルアミド)アルミニウム(III)(Al(N(CH323)などを含むが、これらに限定されない。
例示的なジルコニウム含有反応物は、ビス(シクロペンタジエニル)ジルコニウム(IV)二水素化物(C1012Zr)、ビス(メチル−η5−シクロペンタジエニル)メトキシメチルジルコニウム(Zr(CH3542CH3OCH3)、ジメチルビス(ペンタメチルシクロペンタジエニル)ジルコニウム(IV)(C2236Zr)、テトラキス(ジエチルアミド)ジルコニウム(IV)([(C252N]4Zr)、テトラキス(ジメチルアミド)ジルコニウム(IV)([(CH32N]4Zr)、テトラキス(ジメチルアミド)ジルコニウム(IV)([(CH32N]4Zr)、テトラキス(エチルメチルアミド)ジルコニウム(IV)(Zr(NCH3254)、ジルコニウム(IV)ジブトキシド(ビス−2,4−ペンタンジオナート)(C18326Zr)、ジルコニウム(IV)2−エチルヘキサン酸(Zr(C81524)、ジルコニウムテトラキス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)(Zr(OCC(CH3)3CHCOC(CH334)などを含むが、これらに限定されない。
開示の前駆体は、限定する意図はない。当業者には周知のように、他の反応物が用いられてもよい。酸素は、1つ以上の反応物と共に提供されることが多い。いくつかの実施形態では、基板上のフィーチャを規定するのに用いられるマスク層は、酸化の影響を受けやすいだろう。炭素系マスク材料は、堆積工程の間の酸化により生じる損傷に特に影響を受けやすいだろう。本明細書の特定の実施形態では、金属酸化物保護層は、金属含有反応物および硫化カルボニル(COS)の組み合わせを用いて形成されてよい。硫化カルボニルは、酸化感受性マスク材料を損傷することなく酸化物を形成することが示されている。
いくつかの実施形態では、保護膜は、炭窒化タングステン、硫化タングステン、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、硫化スズ、モリブデン、酸化モリブデン、炭化モリブデン、窒化モリブデン、炭窒化モリブデン、硫化モリブデン、炭窒化ルテニウム、硫化ルテニウム、炭窒化アルミニウム、硫化アルミニウム、ジルコニウム、酸化ジルコニウム、窒化ジルコニウム、炭化ジルコニウム、炭窒化ジルコニウム、硫化ジルコニウム、およびこれらの組み合わせからなる群より選択された材料で作られてよい。
上記のように、保護層を形成するのに用いられる前駆体およびそのフラグメントは比較的低い付着係数を有しうるため、前駆体をエッチングフィーチャ内の所望の深さまで浸透させることができる。いくつかの場合では、(適切な堆積条件における)前駆体の付着係数は、約0.05以下(例えば、約0.001以下)であってよい。同様に、保護層を形成するのに用いられる前駆体およびそのフラグメントは、比較的低い再結合率を有してよい。低い再結合率を有する種は、反応性を保ちながらフィーチャのより深くに浸透できる。損失係数は、基板上の再結合および吸着の両方による反応物種の損失を計算する。いくつかの実施形態では、反応物種が反応性を維持しながら高アスペクト比のフィーチャに深く浸透して残存できるように、損失係数は比較的低い。これにより、部分的にエッチングされたフィーチャの実質的な長さ/深さ部分に沿って保護被膜が堆積できる。特定の場合では、被膜はフィーチャの全長さに沿って堆積してよい。様々な場合では、損失係数は約0.005未満であってよい。
保護膜を形成するための反応機構は、周期的(例えば、ALD)または連続的(例えば、CVD)であってよい。高アスペクト比の保護膜の形成をもたらすあらゆる方法が用いられてよい。上述のように、ALD反応は、その調節可能な共形性および吸着制限特性からこの目的に特に適しているだろう。しかし、エッチングフィーチャ深くの側壁を保護するために膜が所望の深さで形成できさえすれば、他の種類の反応が用いられてもよい。
端的に言うと、ALD反応は、(a)吸着前駆体層を形成するために第1の反応物を供給する動作、(b)未吸着の第1の反応物を反応チャンバから除去するために追加のパージを行う動作、(c)第2の反応物を供給し、基板上で第1の反応物と第2の反応物とを反応させる動作、(d)過剰な反応物および副生成物を除去するために追加のパージを行う動作、ならびに(e)膜が所望の厚さに達するまで(a)〜(d)を繰り返す動作、を周期的に実施することを含む。反応物は別々の時間に供給され、反応は表面反応であるため、この方法はある程度に制限された吸着であってよい。これにより、所望の側壁位置で凹状フィーチャを補強できる調節可能な共形膜が形成され、たわみの形成が防止される。その一方で、CVD法は通常、反応物が基板に連続して(非周期的に)供給される気相反応を含む。いくつかのCVD法は、比較的高レベル/所望レベルの共形性で実行されうる。
以下の反応条件は、プラズマ支援ALD法によって堆積反応が起こる特定の実施形態において用いられてよい。基板温度は、約0〜500℃(例えば、約20〜200℃)に維持されてよい。圧力は、約100mTorrまたは約200mTorr(例えば、それぞれ約13Paまたは26Pa)の低さに、および、約1Torr、約2Torr、または約3Torr(例えば、それぞれ約130Pa、約270Pa、約400Pa)の高さに維持されてよい。イオンエネルギは、比較的低くてよい(例えば、約1kV未満)。プラズマを生成するのに用いられるRF周波数は約60MHzであってよいが、他の周波数が用いられてもよい。RF電力は、数百ワット(例えば、約500W以下(例えば、約7100W/m2以下)、約400W以下(例えば、約7100W/m2以下)、または約300W以下(例えば、約7100W/m2以下))であってよい。報告された電力レベルは、電力が1枚の300mmのウエハに供給され、追加の基板または異なる大きさの基板の基板面積に基づき比例して変更されたことを前提とする。各ALDサイクルの間、吸着反応物は約0.5〜20秒の期間に、約50〜1000sccmの流量で供給されてよい。第1のパージは、約0〜60秒の期間を有してよい。プラズマは、約0.5〜120秒の期間に、約50〜1000sccmの反応物(反応物と共に供給される不活性ガスを除く)の流量で基板に暴露されてよい。プラズマ暴露の間の水素の流量は、約0〜1000sccmであってよい。ポストRFパージは、約0〜60秒の期間であってよい。これらの条件は、フィーチャ内の所望の深さにおける保護膜の堆積を目指すために各堆積中に調整されうる。
以下の反応条件は、熱(非プラズマ)ALD法によって堆積反応が起こる様々な実施形態において用いられてよい。基板温度は、約150〜500℃(例えば、約250〜400℃)に維持されてよい。圧力は、約100mTorrまたは約200mTorr(例えば、それぞれ約13Paまたは26Pa)の低さに、および、約1Torr、約2Torr、または約3Torr(例えば、それぞれ約130Pa、約270Pa、または約400Pa)の高さに維持されてよい。各ALDサイクルの間、吸着反応物は約0.15〜20秒の期間に、約50〜1000sccmの流量で供給されてよい。第1のパージは、約0〜60秒の期間であってよい。プラズマは、約0.15〜120秒の期間に、約50〜1000sccmの反応物(反応物と共に供給される不活性ガスを除く)の流量で基板に暴露されてよい。第2のパージは、約0〜60秒の期間であってよい。これらの条件は、フィーチャ内の所望の深さにおける保護膜の堆積を目指すために各堆積中に調整されうる。
以下の反応条件は、プラズマ支援CVD法によって堆積反応が起こる特定の実施形態において用いられてよい。基板温度は、約0〜500℃(例えば、約20〜200℃)に維持されてよい。圧力は、約100〜3000mT(例えば、13〜400Pa)に維持されてよい。プラズマを生成するのに用いられるRF周波数は、2〜60MHzであってよい。プラズマを生成するのに用いられるRF電力は、約100〜800W(例えば、約1,400〜11,300W/m2)など約50〜2000W(例えば、約700〜28,000W/m2)であってよい。反応物の供給およびプラズマ暴露の期間は、約1〜180秒であってよい。流量は特定の反応物に依存する。これらの条件は、フィーチャ内の所望の深さにおける保護膜の堆積を目指すために各堆積中に調整されうる。
以下の反応条件は、熱(非プラズマ)CVD法によって堆積反応が起こる特定の実施形態において用いられてよい。基板温度は、約−10〜500℃(例えば、約20〜300℃)に維持されてよい。圧力は、約100〜3000mT(例えば、13〜400Pa)に維持されてよい。反応物の供給期間は、約1〜180秒であってよい。流量は特定の反応物に依存する。ALD反応条件およびCVD反応条件は指針として提供され、限定する意図はない。これらの条件は、フィーチャ内の所望の深さにおける保護膜の堆積を目指すために各堆積中に調整されうる。
プラズマが用いられる特定の実施形態では、プラズマは容量結合プラズマであってよい。いくつかの他の実施形態では、プラズマは誘導結合プラズマ、遠隔生成プラズマ、マイクロ波プラズマなどであってよい。いくつかの実施形態では、プラズマは、上述のように1つ以上の周波数で生成された容量結合プラズマである。プラズマを生成するのに用いられた周波数は、低周波(LF)成分および/または高周波(HF)成分を含んでよい。いくつかの場合では、プラズマが存在する特定の処理工程の間にHF周波数のみが用いられる。
D.マスク浸漬プロセス
図2の動作201に関して説明されたように、マスク層は必要に応じて1つ以上の材料に浸漬されてよい。多くの場合、マスク層は炭素またはシリコンである。マスク層は、様々な実施形態では1つ以上の金属に浸漬されてよい。マスク層が浸漬される金属は、側壁に堆積した保護膜に存在する金属と同じであってよい。あるいはまたは加えて、マスク層はボロンに浸漬されてよい。
特定の例では、マスク層はタングステンに浸漬された炭素である。これらの場合または他の場合では、保護膜は、炭窒化タングステンまたは硫化タングステンなどのタングステン含有金属を含んでよい。別の例では、マスク層はスズに浸漬された炭素である。これらの場合または他の場合では、保護膜は、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、または硫化スズなどのスズ含有材料を含んでよい。別の例では、マスク層はモリブデンに浸漬された炭素である。これらの場合または他の場合では、保護膜は、モリブデン、酸化モリブデン、炭化モリブデン、窒化モリブデン、炭窒化モリブデン、または硫化モリブデンなどのモリブデン含有材料を含んでよい。別の例では、マスク層はルテニウムに浸漬された炭素である。これらの場合または他の場合では、保護膜は、炭窒化ルテニウムまたは硫化ルテニウムなどのルテニウム含有材料を含んでよい。別の例では、マスク層はアルミニウムに浸漬された炭素である。これらの場合または他の場合では、保護膜は、炭窒化アルミニウムまたは硫化アルミニウムなどのアルミニウム含有材料を含んでよい。別の例では、マスク層はジルコニウムに浸漬された炭素である。これらの場合または他の場合では、保護膜は、ジルコニウム、酸化ジルコニウム、窒化ジルコニウム、炭化ジルコニウム、炭窒化ジルコニウム、または硫化ジルコニウムなどのジルコニウム含有材料を含んでよい。
マスク層を浸漬するためにあらゆる利用可能な方法が用いられてよい。特定の実施形態では、イオン注入が用いられる。イオンは浸漬プラズマから生じてよい。イオンの注入深さは、イオンの注入エネルギに依存する。いくつかの他の実施形態では、ガス源または液体源からの拡散によってドーパントがマスク層に導入されてよい。
浸漬後、マスク層(または、その一部)は約20〜70(原子)%のドーパント濃度を有してよい。
E.前処理プロセス
図2の動作205に関して説明されたように、基板は必要に応じてフッ化炭素系被膜を除去または変質するために前処理されてよい。フッ化炭素系被膜は、様々な場合に基板をプラズマに暴露することにより処理されてよい。例えば、N2/H2プラズマへの暴露は、フッ化炭素系被膜からフッ素を除去してよい。いくつかの場合では、N2/H2プラズマへの暴露は、実質的に全てのフッ化炭素系被膜を除去してよい。これらまたは他の場合では、(例えば、H2、He、O2、またはこれらの組み合わせなどのガスを用いる)プラズマ前処理は、図2の動作207で堆積された保護膜の核形成を可能にするまたは促進するのに用いられてよい。
F.保護膜除去プロセス
フィーチャがエッチングされた後、図2の動作211に関して説明されたように、保護膜は必要に応じてフィーチャの側壁から除去されてよい。保護膜は、ウェット洗浄法またはドライ洗浄法によって除去されてよい。
いくつかの場合では、保護膜はウェット化学洗浄法によって除去される。特定の例では、保護膜を除去するのに過酸化水素(H22)が用いられる。H22は、H22炭窒化タングステンまたは硫化タングステンで作られたものなどタングステン系保護膜を除去するのに特に有効である。ウェット洗浄法に用いられうる他の種は二塩化硫黄(SCl)を含むが、これに限定されない。ウェット洗浄が用いられる場合は、全てもしくはほぼ全ての保護膜を除去する(または、保護膜中に存在する全てのもしくはほぼ全ての金属を除去する)のに十分な期間に化学洗浄剤が基板上に導入されてよい。
いくつかの場合では、保護膜はドライ洗浄法によって除去される。その場合には、基板は、保護膜(または、その中の金属)をフィーチャの側壁から取り除くプラズマに暴露されてよい。一例では、基板がCl22から生成されたプラズマに暴露されることで、保護膜が除去される。Cl22プラズマは、炭窒化タングステンまたは硫化タングステンで作られたものなどタングステン系保護膜を除去するのに特に有効である。例示的なプラズマ発生周波数は約1〜13MHzであってよく、例示的なプラズマ発生電力は約500〜6000Wであってよく、例示的な基板支持体温度は約200〜500℃であってよく、例示的な圧力は約100〜4000mTorrであってよく、例示的なプラズマ暴露期間は約1〜5分であってよい。
G.酸化プロセス
様々な実施形態では、図2の動作213に関して説明されたように、残留保護膜はフィーチャが完全にエッチングされた後に酸化されてよい。酸化は、基板を例えば酸化ガスまたはプラズマに暴露することにより実施されてよい。プラズマによる酸化が用いられる場合は、プラズマは酸素(O2)、オゾン(O3)などの酸素含有種、および/または、上述の様々な酸素含有種から生成されてよい。例示的なプラズマ発生周波数は約1〜13.56MHzであってよく、例示的なプラズマ発生電力は約100〜5000Wであってよく、例示的な基板支持体温度は約40〜120℃であってよく、例示的な圧力は約10mTorr〜約1Torrであってよく、例示的なプラズマ暴露期間は約10秒〜約2分であってよい。
この酸化工程は、フィーチャ内の残留保護膜を酸化させることで、かかる材料が電気絶縁性であることを確実にする。
V.装置
本明細書に記載の方法は、任意の適した装置または複合装置によって実施されてよい。適した装置は、プロセス動作を実施するためのハードウェアと、本発明によりプロセス動作を制御するための命令を有するシステムコントローラとを備える。例えばいくつかの実施形態では、ハードウェアはプロセスツールに備えられた1つ以上のプロセスステーションを備えてよい。1つのプロセスステーションはエッチングステーションであってよく、別のプロセスステーションは堆積ステーションであってよい。別の実施形態では、エッチングおよび堆積は単一のステーション/チャンバで起こる。
図4A〜図4Cは、本明細書に記載のエッチング動作を実施するのに用いられうる、ギャップの調節が可能な容量結合密閉RFプラズマリアクタ400の実施形態を表す。図のように真空チャンバ402は、下部電極406を収容する内部空間を取り囲むチャンバハウジング404を備える。チャンバ402の上部には、上部電極408が下部電極406から垂直に離れて位置する。上部電極408および下部電極406の平面はほぼ平行であり、電極間の垂直方向に直交している。上部電極408および下部電極406は円形で、垂直軸に対して同心であることが好ましい。上部電極408の下面は、下部電極406の上面に対向する。離れて対向する電極面は、その間に調節可能なギャップ410を規定する。動作中に下部電極406は、RF電源(整合器)420からRF電力が供給される。RF電力は、RF供給管路422、RFストラップ424、およびRF電力部材426を通じて下部電極406に供給される。下部電極406により均一なRF電界を提供するために、アースシールド436がRF電力部材426を取り囲んでよい。全ての内容が参照として本明細書に援用される、所有者共通の米国特許第7,732,728号に記載されているように、ウエハはウエハポート482を通じて挿入されて、処理のためにギャップ410で下部電極406の上に支持され、プロセスガスがギャップ410に供給されて、RF電力によってプラズマ状態に励起される。上部電極408は給電されうる、または接地されうる。
図4A〜図4Cに示された実施形態では、下部電極406は下部電極支持板416に支持されている。下部電極406と下部電極支持板416との間にあるインシュレータリング414は、下部電極406を支持板416から分離する。
RFバイアスハウジング430は、RFバイアスハウジングボウル432の上に下部電極406を支持する。ボウル432は、RFバイアスハウジング430のアーム434によって、チャンバ壁板418の開口部を通じて管路支持板438に接続されている。好ましい実施形態では、RFバイアスハウジングボウル432およびRFバイアスハウジングアーム434は1つの構成部品として一体成形されているが、アーム434およびボウル432はボルト接合された、または結合された2つの異なる構成部品であってもよい。
RFバイアスハウジング434は、RF電力、ならびに、ガス冷媒、液体冷媒、RFエネルギ、リフトピン制御や電気的モニタリングや動作信号のためのケーブルなどの設備を、下部電極406の裏側空間で真空チャンバ402の外部から真空チャンバ402の内部に通すための1つ以上の中空路を備える。RF供給管路422は、RF電源420へのRF電力の復路を提供するRFバイアスハウジングアーム434から分離されている。設備管路440は、設備部品のための通路を提供する。設備部品についてのさらなる詳細は、米国特許第5,948,704号および第7,732,728号に記載され、ここでは説明を簡潔にするために示されていない。ギャップ410は、閉じ込めリングアセンブリまたはシュラウド(図示せず)によって囲まれていることが好ましく、その詳細は、本明細書に参照として援用される所有者共通の公開米国特許第7,740,736号に見ることができる。真空チャンバ402の内部は、真空ポータル480を通じて真空ポンプへの接続により低圧力に維持される。
管路支持板438は、作動機構442に取り付けられる。作動機構の詳細は、それにより本明細書に援用される所有者共通の米国特許第7,732,728号に記載されている。サーボ機構モータ、ステッパモータなどの作動機構442は、例えばボールねじ、およびボールねじを回転させるモータなどのスクリューギヤ446によって垂直直動軸受444に取り付けられている。ギャップ410の大きさを調節する動作の間に、作動機構442は垂直直動軸受444に沿って進む。図4Aは、作動機構442が直動軸受444の高位置にあって小ギャップ410aが生じるときの配置を表す。図4Bは、作動機構442が直動軸受444の中間位置にあるときの配置を表す。図のように、下部電極406、RFバイアスハウジング430、管路支持板438、RF電源420は全て、チャンバハウジング404および上部電極408よりも下に移動し、中型ギャップ410bが生じる。
図4Cは、作動機構442が直動軸受の低位置にあるときの大ギャップ410cを表す。上部電極408および下部電極406はギャップ調整中に同軸のままで、ギャップ全体にわたる上部電極および下部電極の対向面は平行のままであることが好ましい。
この実施形態は、例えば300mmのウエハやフラットパネルディスプレーなどの大きい直径の基板全体で均一なエッチングを維持するために、複数工程のプロセスレシピ(BARC、HARC、およびSTRIPなど)の間にCCPチャンバ402の内部の下部電極406と上部電極408との間のギャップ410が調節されることを可能にする。特にこのチャンバは、下部電極406と上部電極408との間で調節可能なギャップを提供するのに必要な直動を可能にする機械配置に適している。
図4Aは、近位端で管路支持板438にシールされ、先端でチャンバ壁板418の段付フランジ428にシールされた、横方向に偏向されたベローズ450を表す。段付フランジの内径は、RFバイアスハウジングアーム434が通るチャンバ壁板418の開口412を規定する。ベローズ450の先端は、クランプリング452によってクランプされている。
横方向に偏向されたベローズ450は、RFバイアスハウジング430、管路支持板438、および作動機構442の垂直移動を可能にしながら真空シールを提供する。RFバイアスハウジング430、管路支持板438、および作動機構442は、カンチレバーアセンブリと呼ばれうる。RF電源420はカンチレバーアセンブリと共に移動し、管路支持板438に取り付けられることが好ましい。図4Bは、カンチレバーアセンブリが中間位置にあるときの中立位置のベローズ450を示す。図4Cは、カンチレバーアセンブリが低位置にあるときに横方向に偏向されたベローズ450を示す。
ラビリンスシール448は、ベローズ450とプラズマ処理チャンバハウジング404の内部との間に粒子バリアを提供する。カンチレバーアセンブリの垂直移動に応じるように可動シールド板458が垂直に移動するラビリンス溝460(スロット)を提供するために、固定シールド456はチャンバ壁板418においてチャンバハウジング404の内壁内部に固定されている。可動シールド板458の外側部分は、下部電極406の全ての垂直位置でスロット内に留まる。
図の実施形態では、ラビリンスシール448は、ラビリンス溝460を規定するチャンバ壁板418の開口412の周辺でチャンバ壁板418の内面に取り付けられた固定シールド456を備える。RFバイアスハウジングアーム434が開口412を通ってチャンバ壁板418に伸びる場合に、可動シールド板458はアーム434から径方向に伸びて取り付けられる。可動シールド板458は、固定シールド456から第1のギャップだけ離れ、チャンバ壁板418の内面から第2のギャップだけ離れてラビリンス溝460に伸びることで、カンチレバーアセンブリが垂直に動くことを可能にする。ラビリンスシール448は、ベローズ450からの破砕粒子の移動が真空チャンバ内部405に入らないようにし、プロセスガスプラズマからのラジカルが後に破砕される堆積を形成する可能性があるベローズ450に移動しないようにする。
図4Aは、カンチレバーアセンブリが高位置(小ギャップ410a)にあるときにRFバイアスハウジングアーム434上方のラビリンス溝460の高位置にある可動シールド板458を示す。図4Cは、カンチレバーアセンブリが低位置(大ギャップ410c)にあるときにRFバイアスハウジングアーム434上方のラビリンス溝460の低位置にある可動シールド板458を示す。図4Bは、カンチレバーアセンブリが中間位置(中ギャップ410b)にあるときにラビリンス溝460の中立位置または中間位置にある可動シールド板458を示す。ラビリンスシール448はRFバイアスハウジングアーム434について対照的に示されているが、他の実施形態では、ラビリンスシール448はRFバイアスアーム434について非対称であってよい。
図5は、本明細書に記載の堆積方法を実施するために配置された様々なリアクタ構成部品を描いた簡単なブロック図である。図のようにリアクタ500は、リアクタの他の構成部品を囲み、接地されたヒータブロック520と協働するシャワーヘッド514を備える容量放電式システムにより生成されたプラズマを含むように機能するプロセスチャンバ524を備える。高周波(HF)無線周波数(RF)発生器504および低周波(LF)RF発生器502は、整合ネットワーク506およびシャワーヘッド514に接続されてよい。整合ネットワーク506によって供給される電力および周波数は、プロセスチャンバ524に供給されるプロセスガスからプラズマを生成するのに十分であってよい。例えば、整合ネットワーク506は50〜500W(例えば、700〜7,100W/m2)のHFRF電力を提供してよい。いくつかの例では、整合ネットワーク506は100〜5000W(例えば、1,400〜71,000W/m2)のHFRF電力、および、100〜5000W(例えば、1,400〜71,000W/m2)のLFRF電力の総エネルギを提供してよい。通常のプロセスでは、HFRF成分は一般に5MHzから60MHz(例えば、13.56MHz、約27MHz、または場合によっては60MHz)であってよい。LF成分が存在する動作では、LF成分は約100kHzから2MHz(例えば、約430kHz、または場合によっては2MHz)であってよい。
ウエハ台座518は、リアクタ内で基板516を支持してよい。ウエハ台座518は、堆積反応および/またはプラズマ処理反応の最中または間に基板を保持および搬送するためのチャック、フォーク、またはリフトピン(図示せず)を備えてよい。チャックは、静電チャック、機械チャック、または、この業界における使用のためおよび/または研究のために利用可能な他の種類のチャックであってよい。
様々なプロセスガスは、導入口512を通じて導入されてよい。複数のソースガスライン510がマニホルド508に接続されている。ガスは予混合されてよい、またはされなくてよい。プロセスの堆積段階およびプラズマ処理段階の間に正しいプロセスガスが供給されることを確実にするために、適切な弁機構およびマスフロー制御機構が用いられてよい。化学前駆体が液状で供給される場合は、液体流制御機構が用いられてよい。かかる液体は次に、堆積チャンバに到達する前に、液状で供給された化学前駆体の蒸発点より高い温度に加熱されたマニホルドにおいて気化され、移送時にプロセスガスと混合されてよい。
プロセスガスは、導出口522を通じてチャンバ524から抜けてよい。スロットル弁または振り子弁などの閉ループ制御された流量制限装置を用いて、プロセスガスをプロセスチャンバ524から引き出し、プロセスチャンバ524の内部を適度な低圧に維持するために、真空ポンプ(例えば、一段階式もしくは二段階式の機械ドライポンプ、および/または、ターボ分子ポンプ540)が用いられてよい。
上述のように、本明細書に記載の堆積のための技術は、マルチステーションツールまたは単一ステーションツールで実施されてよい。特定の実施形態では、4つのステーションの蒸着機構を有する300mm用Lam VectorTMツール、または、6つのステーションの蒸着機構を有する200mm用SequelTMツールが用いられてよい。いくつかの実施形態では、450mmのウエハを処理するためのツールが用いられてよい。様々な実施形態では、堆積ごとに、および/もしくは、堆積後のプラズマ処理後にウエハはインデキシングされてよい、または、エッチングチャンバもしくはエッチングステーションが同じツールの一部である場合は、ウエハはエッチング動作後にインデキシングされてよい、または、ウエハをインデキシングする前に単一ステーションで処理が実行されてよい。
いくつかの実施形態では、本明細書に記載の技術を実施するように構成された装置が提供されてよい。適した装置は、様々なプロセス動作を実施するためのハードウェアと、開示の実施形態によるプロセス動作を制御するための命令を有するシステムコントローラ530と、を備えてよい。システムコントローラ530は通常、1つ以上のメモリデバイスと、様々なプロセス制御装置(例えば、弁、RF発生器、ウエハハンドリングシステム)と通信可能に接続され、装置が本開示の実施形態による技術を実施できるように命令を実行するよう構成された1つ以上のプロセッサと、を備えるだろう。本開示によるプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ530に接続されてよい。コントローラ530は、様々なハードウェア装置(例えば、マスフローコントローラ、弁、RF発生器、真空ポンプ)と通信可能に接続されて、本明細書に記載の堆積動作に関連する様々なプロセスパラメータの制御を容易にしてよい。
いくつかの実施形態では、システムコントローラ530はリアクタ500の全ての動作を制御してよい。システムコントローラ530は、大容量記憶装置に格納され、メモリデバイスにロードされ、プロセッサで実行されるシステム制御ソフトウェアを実行してよい。システム制御ソフトウェアは、ガス流のタイミング、ウエハの動き、RF発生器の起動などを制御するための命令と、ガスの混合、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、基板台座位置、チャック位置、および/または、サセプタ位置、ならびに、リアクタ装置500によって実施される特定プロセスの他のパラメータを制御するための命令と、を含んでよい。システム制御ソフトウェアは、任意の適した方法で構成されてよい。例えば、様々なプロセスツール部品のサブルーチンまたは制御対象は、様々なプロセスツールのプロセスを実行するのに必要なプロセスツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェアは、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
システムコントローラ530は通常、1つ以上のメモリデバイスと、装置が本開示による技術を実施するように命令を実行するよう構成された1つ以上のプロセッサと、を備えてよい。本開示の実施形態によるプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ530に接続されてよい。
マルチステーション処理ツールには、1つ以上のプロセスステーションが含まれてよい。図6は、搬入ロードロック602および搬出ロードロック604を備えるマルチステーション処理ツール600の実施形態の概略図を示す。搬入ロードロック602および搬出ロードロック604のいずれかまたは両方は、リモートプラズマ源を備えてよい。ロボット606は、大気圧でウエハを、ポッド608によって装着されたカセットから大気口610を通じて搬入ロードロック602に移動させるように構成されている。ウエハはロボット606によって搬入ロードロック602の台座612に設置され、大気口610が閉じられ、ロードロックがポンプダウンされる。搬入ロードロック602がリモートプラズマ源を備える場合は、ウエハは処理チャンバ614に導入される前にロードロック内でリモートプラズマ処理に曝されてよい。さらにウエハは、例えば水分および吸着ガスを除去するために搬入ロードロック602内で加熱されてもよい。次に、処理チャンバ614へのチャンバ移送ポート616が開かれ、別のロボット(図示せず)がウエハを処理のためにリアクタに示された第1のステーションの台座に設置する。図の実施形態はロードロックを含むが、いくつかの実施形態ではプロセスステーションへのウエハの直接搬入が提供されてよいことが理解されるだろう。
図の処理チャンバ614は、図6に示された実施形態では1から4の番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1では618)およびガスライン導入口を有する。いくつかの実施形態では、各プロセスステーションは異なるまたは複数の目的を有してよいことが理解されるだろう。例えば、プロセスステーション1〜4の各々は、ALD、CVD、CFD、またはエッチング(いずれもプラズマ支援型であってよい)の1つ以上を実施するためのチャンバであってよい。一実施形態では、少なくとも1つのプロセスステーションは図5に示された反応チャンバを有する堆積ステーションであり、少なくとも1つの他のプロセスチャンバは図4A〜図4Cに示された反応チャンバを有するエッチングステーションである。図の処理チャンバ614は4つのステーションを備えるが、本開示による処理チャンバは任意の適した数のステーションを有してよいことが理解されるだろう。例えば、いくつかの実施形態では処理チャンバは5つ以上のステーションを有してよく、他の実施形態では処理チャンバは3つ以下のステーションを有してよい。
図6は、処理チャンバ614の内部でウエハを搬送するためのウエハハンドリングシステム690の実施形態も表す。いくつかの実施形態では、ウエハハンドリングシステム690はウエハを様々なプロセスステーション間、および/または、プロセスステーションとロードロックとの間で搬送してよい。任意の適したウエハハンドリングシステムが採用されてよいことが理解されるだろう。非限定的な例は、ウエハカルーセルおよびウエハハンドリングロボットを含む。図6は、プロセスツール600のプロセス条件およびハードウェア状態を制御するのに用いられるシステムコントローラ650の実施形態も表す。システムコントローラ650は、1つ以上のメモリデバイス656、1つ以上の大容量記憶装置654、および1つ以上のプロセッサ652を備えてよい。プロセッサ652は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラ基板などを含んでよい。
いくつかの実施形態では、コントローラは、上記の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含む。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のそれらの動作を制御するための電子機器と統合されてよい。この電子機器は「コントローラ」と呼ばれ、システムの様々な構成部品または副部品を制御してよい。コントローラは、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出など、本明細書に開示されたプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1つ以上の処理動作を実現するために、プロセスエンジニアによって定義されたレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えばコントローラは、「クラウド」内にあって、または、ファブホストコンピュータシステムの全てもしくは一部であって、ウエハ処理のリモートアクセスを可能にしてよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または性能基準を調査して、現在の処理のパラメータを変更、現在の処理に続く処理工程を設定、または、新しいプロセスを開始する。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じてシステムにプロセスレシピを提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを備えてよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理動作のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにコントローラは、例えば互いにネットワーク接続される1つ以上の個別のコントローラを含むことと、本明細書に記載のプロセスおよび制御などの共通の目的のために協働することとによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通するチャンバの1つ以上の集積回路だろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連するもしくは使用される他の半導体処理システムを含んでよい。
上記のように、ツールにより実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはツールモジュール、他のツール構成部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
特定の実施形態では、コントローラは図2Aに関して示され説明された動作を実施するための命令を有する。例えば、コントローラは周期的に(a)基板上にフィーチャを部分的にエッチングするためのエッチング動作を実施し、(b)実質的に基板をエッチングすることなくエッチングフィーチャに保護側壁被膜を堆積させるための命令を有してよい。命令は、本開示の反応条件を用いてこれらのプロセスを実施することに関してよい。命令は、いくつかの実施形態では、エッチングチャンバと堆積チャンバとの間で基板を搬送することに関してもよい。
図6の実施形態に戻ると、いくつかの実施形態では、システムコントローラ650はプロセスツール600の全ての動作を制御する。システムコントローラ650は、大容量記憶装置654に格納され、メモリデバイス656にロードされ、プロセッサ652で実行されるシステム制御ソフトウェア658を実行する。あるいは、制御ロジックはコントローラ650でハードコードされてよい。これらの目的のために、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、またはFPGA)などが用いられてよい。以下の説明において「ソフトウェア」または「コード」が用いられる場合は、機能的に相当するハードコードされたロジックがその部分に用いられてよい。システム制御ソフトウェア658は、タイミング、ガスの混合、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、RF暴露時間、基板台座位置、チャック位置および/またはサセプタ位置、ならびに、プロセスツール600で実施される特定プロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウェア658は、任意の適した方法で構成されてよい。例えば、様々なプロセスツール部品のサブルーチンまたは制御対象は、様々なプロセスツールのプロセスを実行するのに必要なプロセスツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア658は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア658は、上述の様々なパラメータを制御するための入出力制御(IOC)配列命令を含んでよい。例えば、CFDプロセスの各段階は、システムコントローラ650による実行のための1つ以上の命令を含んでよい。ALDプロセス段階のプロセス条件を設定するための命令は、対応するALDレシピ段階に含まれてよい。いくつかの実施形態では、ALDプロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、ALDレシピ段階は連続して配置されてよい。
いくつかの実施形態では、システムコントローラ650に関連付けられた大容量記憶装置654および/もしくはメモリデバイス656に格納された他のコンピュータソフトウェアならびに/または他のプログラムが用いられてよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座618に積載するのに用いられ、基板とプロセスツール600の他の部品との間の空間を制御するのに用いられるプロセスツール部品のためのプログラムコードを含んでよい。
プロセスガス制御プログラムは、プロセスステーション内の圧力を安定させるために、ガス成分および流量を制御し、必要に応じて堆積前に1つ以上のプロセスステーションにガスを流すためのコードを含んでよい。いくつかの実施形態では、コントローラは、周期的に(a)凹状フィーチャをエッチングし、(b)部分的にエッチングされたフィーチャの側壁に(本明細書に記載の成分を有する)金属含有保護層を堆積させるための命令を含み、様々なプロセスガスの流れに関する適切な命令を含む。
圧力制御プログラムは、例えばプロセスステーションの排気システムにおけるスロットル弁、プロセスステーションへのガス流などを調節することにより、プロセスステーション内の圧力を制御するためのコードを含んでよい。いくつかの実施形態では、圧力制御プログラムは、本明細書に記載のエッチング法/堆積法の様々な段階において反応チャンバを適切な圧力レベルに維持するための命令を含んでよい。
ヒータ制御プログラムは、基板を加熱するのに用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。特定の実施形態では、コントローラは第1の温度で基板をエッチングし、第2の温度で金属含有保護側壁被膜を堆積させるための命令を含む。
プラズマ制御プログラムは、本明細書の実施形態による1つ以上のプロセスステーションのRF電力レベルおよび暴露時間を設定するためのコードを含んでよい。いくつかの実施形態では、コントローラは、金属含有保護側壁被膜のエッチング中および/または堆積中のプラズマ特性を制御するための命令を含む。
いくつかの実施形態では、システムコントローラ650に関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件の画像ソフトウェア表示、ならびにユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
いくつかの実施形態では、システムコントローラ650に調整されたパラメータは、プロセス条件に関係してよい。非限定的な例は、プロセスガスの成分および流量、温度、圧力、プラズマ条件(例えば、RFバイアス電力レベルおよび暴露時間)などを含む。これらのパラメータは、ユーザインタフェースを用いて入力されうるレシピの形式でユーザに提供されてよい。
プロセスを監視するための信号は、システムコントローラ650のアナログおよび/またはデジタルの入力接続によって様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール600のアナログおよびデジタルの出力接続で出力されてよい。監視されうるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。プロセス条件を維持するために、適切にプログラムされたフィードバックおよび制御アルゴリズムがこれらのセンサからのデータと共に用いられてよい。
システムコントローラ650は、上述の堆積プロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、様々なプロセスパラメータ(DC電力レベル、RFバイアス電力レベル、圧力、温度など)を制御してよい。これらの命令は、本明細書に記載の様々な実施形態による膜積層のin−situの堆積を動作するようにパラメータを制御してよい。
システムコントローラは通常、1つ以上のメモリデバイスと、装置が本開示の実施形態による方法を実施するように命令を実行するよう構成された1つ以上のプロセッサとを備えるだろう。本開示の実施形態によるプロセス動作を制御するための命令を含む機械可読非一時的媒体は、システムコントローラに接続されてよい。
上記の様々なハードウェアおよび方法の実施形態は、例えば半導体デバイス、表示画面、LED、太陽電池パネルなどの製作または製造のためのリソグラフィパターニングツールまたはリソグラフィパターニングプロセスと併せて用いられてよい。通常、必ずではないが、かかるツール/プロセスは共通の製造施設で一緒に用いられる、または実行されるだろう。
図7は、真空搬送モジュール(VTM)738と連結する様々なモジュールを備える半導体プロセスクラスタアーキテクチャを表す。複数の格納設備と処理モジュールとの間で基板を「搬送する」ための搬送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれてよい。ロードロックまたは搬送モジュールとしても知られるエアロック730は、処理モジュール720a〜720dの4つの処理モジュールを備えるVTM738に示されている。処理モジュール720a〜720dはそれぞれ、様々な製造プロセスを実施するように最適化されてよい。例として、処理モジュール720a〜720dは、基板エッチング、堆積、イオン注入、基板洗浄、スパッタリング、および/または、他の半導体プロセスだけでなく、レーザ計測、他の欠陥検出法、および欠陥識別法を実施するために実装されてよい。1つ以上の処理モジュール(720a〜720dのいずれか)は、本明細書に開示されたように、すなわち基板への凹状フィーチャのエッチング、凹状フィーチャの側壁への保護膜(または、その副層)の堆積、および本開示の実施形態による他の適した機能のために実装されてよい。エアロック730および処理モジュール720a〜720dは「ステーション」と呼ばれてよい。各ステーションは、ステーションをVTM738に連結するファセット736を有する。ファセット内のセンサ1〜18は、基板726がそれぞれのステーションの間を移動したときに基板の通過を検出するのに用いられる。
一例では、処理モジュール720aはエッチング用に構成され、処理モジュール720bは堆積用に構成されてよい。別の例では、処理モジュール720aはエッチング用に構成され、処理モジュール720bは保護側壁被膜の第1の副層を堆積させるように構成され、処理モジュール720cは保護側壁被膜の第2の副層を堆積させるように構成されてよい。
ロボット722はステーション間で基板を搬送する。一実施形態ではロボットは1つのアームを有してよく、別の実施形態ではロボットは2つのアームを有してよい。各アームは、移送のために基板を取り上げるエンドエフェクタ724を有する。大気搬送モジュール(ATM)740のフロントエンドロボット732は、ロードポートモジュール(LPM)742のカセットまたは全面開閉式ウエハポッド(FOUP)734からエアロック730に基板を搬送するのに用いられてよい。処理モジュール720a〜720d内部のモジュール中央部728は、基板を設置するための1つの位置であってよい。ATM740のアライナ744は、基板を位置合わせするのに用いられてよい。
例示的な処理方法では、基板はLPM742のFOUP734の1つに設置される。フロントエンドロボット732が基板をFOUP734からアライナ744に搬送することで、基板726がエッチングされる前に、または堆積される前に、そうでなければ処理される前に正しく中心に置かれることができる。基板は位置合わせ後に、フロントエンドロボット732によってエアロック730に移動される。エアロックモジュールはATMとVTMとの間の環境を一致させる能力を有するため、基板は損傷されずに2つの圧力環境の間を移動することができる。基板は、ロボット722によってエアロックモジュール730からVTM738を通って処理モジュール720a〜720dの1つ(例えば、処理モジュール720a)に移動される。この基板の動きを実現するために、ロボット722は各アームのエンドエフェクタ724を用いる。処理モジュール720aでは、基板は部分的にエッチングされたフィーチャを形成するために本明細書に記載されたようにエッチングが施される。次にロボット722は、基板を処理モジュール720aからVTM738に移動させ、次に異なる処理モジュール720bに移動させる。処理モジュール720bでは、部分的にエッチングされたフィーチャの側壁に保護膜が堆積される。次にロボット722は、基板を処理モジュール720bからVTM738に移動させ、処理モジュール720aに移動させて、そこで部分的にエッチングされたフィーチャはさらにエッチングされる。エッチング/堆積は、フィーチャが完全にエッチングされるまで繰り返されうる。
基板の動きを制御するコンピュータは、クラスタアーキテクチャに固有であってよい、または、製造現場のクラスタアーキテクチャの外部に設置されてよい、または、遠隔地においてネットワークを通じてクラスタアーキテクチャに接続されてよいことに注意されたい。
実験
図8は、フィーチャが保護膜の堆積と共にエッチングされた場合と堆積なしでエッチングされた場合とを比較した、深さ対限界直径を示す実験データを表す。保護膜が堆積されなかったときは、フィーチャがエッチングされるにつれて実質的なたわみが形成された。例えば限界直径は、フィーチャの底部付近では約60nm、フィーチャの実質的に中間部分にわたっては約135nm、フィーチャの上部では約100nmに及んだ。その一方で、保護膜が用いられたときは、たわみはそれほど存在しなかった。この場合、限界直径はフィーチャの底部付近で約80nm、フィーチャの実質的に中間部分にわたっては約100nm、フィーチャの上部付近では約90nmに及んだ。限界直径は、保護膜が用いられた場合により均一である。この例では、保護膜は酸化スズで作られた。
別の実験では、本明細書に記載の技術を用いて7.3μmのフィーチャが誘電積層にエッチングされた。フィーチャ底部の限界寸法は72nmであり、たわみ領域の限界寸法は107nmであった。
追加の実施形態
上述の様々なハードウェアおよび方法の実施形態は、例えば半導体デバイス、表示画面、LED、太陽電池パネルなどの製作または製造のためのリソグラフィパターニングツールまたはリソグラフィパターニングプロセスと併せて用いられてよい。通常、必ずではないが、かかるツール/プロセスは共通の製造施設で一緒に用いられる、または実行されるだろう。
膜のリソグラフィパターニングは通常、(1)スピンオンツールまたはスプレーオンツールを用いてワークピース(例えば、上に窒化シリコン膜が形成された基板)にフォトレジストを塗布する工程、(2)ホットプレートまたは炉または他の適した硬化ツールを用いてフォトレジストを硬化させる工程、(3)ウエハステッパなどのツールにより可視光またはUV光またはX線光にフォトレジストを露光する工程、(4)ウェットベンチまたはスプレー現像剤などのツールを用いて、レジストを現像してレジストを選択的に除去することでレジストをパターニングする工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下地膜またはワークピースにレジストパターンを転写する工程、(6)RFプラズマレジスト剥離剤またはマイクロ波プラズマレジスト剥離剤などのツールを用いてレジストを除去する工程、のいくつかまたは全ての工程を含み、各工程はいくつかの可能なツールで実行される。いくつかの実施形態では、フォトレジストの塗布前に、アッシング可能なハードマスク層(非晶質炭素層など)および別の適したハードマスク(反射防止層など)が堆積されてよい。
この適用では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製造された集積回路」の用語は同義で用いられる。当業者は、「部分的に製造された集積回路」の用語が、多くの集積回路製造段階のあらゆる段階におけるシリコンウエハを意味しうることを理解するだろう。半導体デバイス業界で用いられるウエハまたは基板は通常、200mm、または300mm、または450mmの直径を有する。上記の発明を実施するための形態は、実施形態がウエハ上で実施されることを前提としているが、それほど限定されない。ワークピースは、様々な形状、大きさ、および材料で構成されてよい。半導体ウエハに加えて、本開示の実施形態を利用できる他のワークピースは、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光学素子、マイクロメカニカルデバイスなどの様々な物品を含む。
本明細書で用いられる「約」および「おおよそ」の用語は、特定のパラメータについて定義されない限り、関連値に対して±10%を意味することを意図している。
本明細書に記載の構成および/または手法は、多くの変形が可能なため本質的に例示であり、これらの特定の実施形態または例は限定と見なされるべきではないことを理解されたい。本明細書に記載の特定のルーチンまたは方法は、1つ以上の任意の数の処理工程を表してよい。そのため説明された様々な動作は、記載の順序で、他の順序で、または並行して実施されてよく、場合によっては省かれてよい。同様に、上記のプロセスの順番は変更されてよい。特定の参考文献は、本明細書に参照として援用されている。かかる参考文献で行われた権利放棄または拒否は、必ずしも本明細書に記載の実施形態に当てはまらないことが理解される。同様に、かかる参考文献において必要に応じて記載された特徴は、本明細書の実施形態では省かれてよい。
本開示の主題は、様々なプロセス、システム、および構成、ならびに本明細書に開示の他の特徴、機能、動作、および/または特性の全ての新規で非自明の組み合わせならびに部分的組み合わせを含み、同時にこれら全ての同等物を含む。
本開示の主題は、様々なプロセス、システム、および構成、ならびに本明細書に開示の他の特徴、機能、動作、および/または特性の全ての新規で非自明の組み合わせならびに部分的組み合わせを含み、同時にこれら全ての同等物を含む。本開示は以下の適用例を含む。
[適用例1]
基板上の誘電体含有積層にエッチングフィーチャを形成する方法であって、
(a)エッチング反応物を含む第1のプラズマに前記基板を暴露することにより、前記誘電体含有積層に前記フィーチャを部分的にエッチングする工程と、
(b)(a)の後に、前記フィーチャの側壁に保護膜を堆積させる工程であって、前記保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物、炭窒化ルテニウム、硫化ルテニウム、炭窒化アルミニウム、硫化アルミニウム、ジルコニウム、およびジルコニウム含有化合物のうちの少なくとも1つを含む、工程と、
(c)前記フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返す工程と、を含み、
(b)で堆積された前記保護膜は、(a)の間に前記フィーチャの側面エッチングを実質的に防止し、前記フィーチャは、その最終深さにおいて約5以上のアスペクト比を有する、方法。
[適用例2]
適用例1に記載の方法であって、
前記保護膜は、炭窒化タングステンまたは硫化タングステンを含む、方法。
[適用例3]
適用例2に記載の方法であって、
前記保護膜は、炭窒化タングステンを含む、方法。
[適用例4]
適用例1に記載の方法であって、
前記保護膜は、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、または硫化スズを含む、方法。
[適用例5]
適用例4に記載の方法であって、
前記保護膜は、酸化スズを含む、方法。
[適用例6]
適用例1に記載の方法であって、
前記保護膜は、モリブデン、酸化モリブデン、炭化モリブデン、窒化モリブデン、炭窒化モリブデン、または硫化モリブデンを含む、方法。
[適用例7]
適用例1に記載の方法であって、
前記保護膜は、金属硫化物を含む、方法。
[適用例8]
適用例1に記載の方法であって、
前記保護膜は、炭窒化ルテニウムまたは硫化ルテニウムを含む、方法。
[適用例9]
適用例1に記載の方法であって、
前記保護膜は、炭窒化アルミニウムまたは硫化アルミニウムを含む、方法。
[適用例10]
適用例1に記載の方法であって、
前記保護膜は、ジルコニウム、酸化ジルコニウム、炭化ジルコニウム、窒化ジルコニウム、炭窒化ジルコニウム、または硫化ジルコニウムを含む、方法。
[適用例11]
適用例1に記載の方法であって、
(b)は、原子層堆積反応により前記保護膜を堆積させる工程を含み、前記原子層堆積反応は、
(i)前記基板を第1の堆積反応物に暴露し、前記第1の堆積反応物を前記フィーチャの前記側壁に吸着させる工程と、
(ii)(i)の後に、前記基板を第2の堆積反応物に暴露し、表面反応で前記第1の堆積反応物と前記第2の堆積反応物とを反応させることで、前記フィーチャの前記側壁に前記保護膜を形成する工程と、
を含む、方法。
[適用例12]
適用例1に記載の方法であって、
(b)は、化学蒸着反応により前記保護膜を堆積させる工程を含み、前記化学蒸着反応は、前記基板を第1の堆積反応物および第2の堆積反応物に同時に暴露する工程を含む、方法。
[適用例13]
適用例1に記載の方法であって、さらに、
(a)の前に、前記誘電体含有積層上のマスク層を浸漬する工程を含む、方法。
[適用例14]
適用例1に記載の方法であって、
(a)は、前記フィーチャの前記側壁へのフッ化炭素系被膜の形成をもたらし、
前記方法は、さらに、(a)の後であって(b)の前に、前記基板を前処理することで前記フッ化炭素系被膜を除去するまたは変質させる工程を含み、
前記基板を前処理する前記工程は、(i)N 2 およびH 2 を含むガス、または(ii)O 2 および不活性ガスを含むガスのいずれかから生成されたプラズマに前記基板を暴露する工程を含む、方法。
[適用例15]
適用例14に記載の方法であって、
前記保護膜は、炭窒化タングステンを含む、方法。
[適用例16]
適用例1に記載の方法であって、
(a)は、前記フィーチャの前記側壁へのフッ化炭素系被膜の形成をもたらし、前記保護膜は酸化スズを含み、前記酸化スズ保護膜は(b)において、(a)で形成された前記フッ化炭素系被膜に直接堆積される、方法。
[適用例17]
適用例1に記載の方法であって、さらに、
前記フィーチャが完全にエッチングされた後に、前記保護膜を前記側壁から除去する工程を含む、方法。
[適用例18]
適用例1に記載の方法であって、
前記保護膜は、炭窒化タングステンを含み、H 2 2 、SCl、またはCl 2 2 を含むガスから生成されたプラズマに前記基板を暴露することにより除去される、方法。
[適用例19]
適用例1に記載の方法であって、さらに、
前記フィーチャが完全にエッチングされた後に前記基板を酸化条件に暴露することで、前記フィーチャの前記側壁上のあらゆる残留保護膜を酸化させる工程を含む、方法。
[適用例20]
半導体基板上の誘電体含有積層にエッチングフィーチャを形成するための装置であって、
1つ以上の反応チャンバであって、少なくとも1つの反応チャンバはエッチングを実施するように設計または構成され、少なくとも1つの反応チャンバは堆積を実施するように設計または構成され、各反応チャンバは、
前記反応チャンバにプロセスガスを導入するための導入口と、
前記反応チャンバから材料を除去するための導出口と、を備える1つ以上の反応チャンバと、
コントローラであって、
(a)エッチング反応物を含む第1のプラズマに前記基板を暴露することにより、前記誘電体含有積層に前記フィーチャを部分的にエッチングさせるための命令であって、(a)は、エッチングを実施するように設計または構成された前記反応チャンバで実施される、命令と、
(b)(a)の後に、前記フィーチャの側壁に保護膜を堆積させるための命令であって、前記保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物のうちの少なくとも1つを含み、(b)は、堆積を実施するように設計または構成された前記反応チャンバで実施される、命令と、
(c)前記フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返し行わせるための命令であって、(b)で堆積された前記保護膜は、(a)の間に前記フィーチャの側面エッチングを実質的に防止し、前記フィーチャは、その最終深さにおいて約5以上のアスペクト比を有する、命令と、を有するコントローラと、
を備える、装置。
[適用例21]
適用例20に記載の装置であって、
(a)および(b)が同じ反応チャンバで起こるように、エッチングを実施するように設計または構成された前記反応チャンバは、堆積を実施するように設計または構成された前記反応チャンバと同じである、装置。
[適用例22]
適用例20に記載の装置であって、
エッチングを実施するように設計または構成された前記反応チャンバは、堆積を実施するように設計または構成された前記反応チャンバと異なり、前記コントローラは、さらに、エッチングを実施するように設計または構成された前記反応チャンバと堆積を実施するように設計または構成された前記反応チャンバとの間で、真空条件下で前記基板を搬送するための命令を有する、装置。

Claims (22)

  1. 基板上の誘電体含有積層にエッチングフィーチャを形成する方法であって、
    (a)エッチング反応物を含む第1のプラズマに前記基板を暴露することにより、前記誘電体含有積層に前記フィーチャを部分的にエッチングする工程と、
    (b)(a)の後に、前記フィーチャの側壁に保護膜を堆積させる工程であって、前記保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物、炭窒化ルテニウム、硫化ルテニウム、炭窒化アルミニウム、硫化アルミニウム、ジルコニウム、およびジルコニウム含有化合物のうちの少なくとも1つを含む、工程と、
    (c)前記フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返す工程と、を含み、
    (b)で堆積された前記保護膜は、(a)の間に前記フィーチャの側面エッチングを実質的に防止し、前記フィーチャは、その最終深さにおいて約5以上のアスペクト比を有する、方法。
  2. 請求項1に記載の方法であって、
    前記保護膜は、炭窒化タングステンまたは硫化タングステンを含む、方法。
  3. 請求項2に記載の方法であって、
    前記保護膜は、炭窒化タングステンを含む、方法。
  4. 請求項1に記載の方法であって、
    前記保護膜は、スズ、酸化スズ、窒化スズ、炭化スズ、炭窒化スズ、または硫化スズを含む、方法。
  5. 請求項4に記載の方法であって、
    前記保護膜は、酸化スズを含む、方法。
  6. 請求項1に記載の方法であって、
    前記保護膜は、モリブデン、酸化モリブデン、炭化モリブデン、窒化モリブデン、炭窒化モリブデン、または硫化モリブデンを含む、方法。
  7. 請求項1に記載の方法であって、
    前記保護膜は、金属硫化物を含む、方法。
  8. 請求項1に記載の方法であって、
    前記保護膜は、炭窒化ルテニウムまたは硫化ルテニウムを含む、方法。
  9. 請求項1に記載の方法であって、
    前記保護膜は、炭窒化アルミニウムまたは硫化アルミニウムを含む、方法。
  10. 請求項1に記載の方法であって、
    前記保護膜は、ジルコニウム、酸化ジルコニウム、炭化ジルコニウム、窒化ジルコニウム、炭窒化ジルコニウム、または硫化ジルコニウムを含む、方法。
  11. 請求項1に記載の方法であって、
    (b)は、原子層堆積反応により前記保護膜を堆積させる工程を含み、前記原子層堆積反応は、
    (i)前記基板を第1の堆積反応物に暴露し、前記第1の堆積反応物を前記フィーチャの前記側壁に吸着させる工程と、
    (ii)(i)の後に、前記基板を第2の堆積反応物に暴露し、表面反応で前記第1の堆積反応物と前記第2の堆積反応物とを反応させることで、前記フィーチャの前記側壁に前記保護膜を形成する工程と、
    を含む、方法。
  12. 請求項1に記載の方法であって、
    (b)は、化学蒸着反応により前記保護膜を堆積させる工程を含み、前記化学蒸着反応は、前記基板を第1の堆積反応物および第2の堆積反応物に同時に暴露する工程を含む、方法。
  13. 請求項1に記載の方法であって、さらに、
    (a)の前に、前記誘電体含有積層上のマスク層を浸漬する工程を含む、方法。
  14. 請求項1に記載の方法であって、
    (a)は、前記フィーチャの前記側壁へのフッ化炭素系被膜の形成をもたらし、
    前記方法は、さらに、(a)の後であって(b)の前に、前記基板を前処理することで前記フッ化炭素系被膜を除去するまたは変質させる工程を含み、
    前記基板を前処理する前記工程は、(i)N2およびH2を含むガス、または(ii)O2および不活性ガスを含むガスのいずれかから生成されたプラズマに前記基板を暴露する工程を含む、方法。
  15. 請求項14に記載の方法であって、
    前記保護膜は、炭窒化タングステンを含む、方法。
  16. 請求項1に記載の方法であって、
    (a)は、前記フィーチャの前記側壁へのフッ化炭素系被膜の形成をもたらし、前記保護膜は酸化スズを含み、前記酸化スズ保護膜は(b)において、(a)で形成された前記フッ化炭素系被膜に直接堆積される、方法。
  17. 請求項1に記載の方法であって、さらに、
    前記フィーチャが完全にエッチングされた後に、前記保護膜を前記側壁から除去する工程を含む、方法。
  18. 請求項1に記載の方法であって、
    前記保護膜は、炭窒化タングステンを含み、H22、SCl、またはCl22を含むガスから生成されたプラズマに前記基板を暴露することにより除去される、方法。
  19. 請求項1に記載の方法であって、さらに、
    前記フィーチャが完全にエッチングされた後に前記基板を酸化条件に暴露することで、前記フィーチャの前記側壁上のあらゆる残留保護膜を酸化させる工程を含む、方法。
  20. 半導体基板上の誘電体含有積層にエッチングフィーチャを形成するための装置であって、
    1つ以上の反応チャンバであって、少なくとも1つの反応チャンバはエッチングを実施するように設計または構成され、少なくとも1つの反応チャンバは堆積を実施するように設計または構成され、各反応チャンバは、
    前記反応チャンバにプロセスガスを導入するための導入口と、
    前記反応チャンバから材料を除去するための導出口と、を備える1つ以上の反応チャンバと、
    コントローラであって、
    (a)エッチング反応物を含む第1のプラズマに前記基板を暴露することにより、前記誘電体含有積層に前記フィーチャを部分的にエッチングさせるための命令であって、(a)は、エッチングを実施するように設計または構成された前記反応チャンバで実施される、命令と、
    (b)(a)の後に、前記フィーチャの側壁に保護膜を堆積させるための命令であって、前記保護膜は、炭窒化タングステン、硫化タングステン、スズ、スズ含有化合物、モリブデン、モリブデン含有化合物のうちの少なくとも1つを含み、(b)は、堆積を実施するように設計または構成された前記反応チャンバで実施される、命令と、
    (c)前記フィーチャが最終深さにエッチングされるまで(a)〜(b)を繰り返し行わせるための命令であって、(b)で堆積された前記保護膜は、(a)の間に前記フィーチャの側面エッチングを実質的に防止し、前記フィーチャは、その最終深さにおいて約5以上のアスペクト比を有する、命令と、を有するコントローラと、
    を備える、装置。
  21. 請求項20に記載の装置であって、
    (a)および(b)が同じ反応チャンバで起こるように、エッチングを実施するように設計または構成された前記反応チャンバは、堆積を実施するように設計または構成された前記反応チャンバと同じである、装置。
  22. 請求項20に記載の装置であって、
    エッチングを実施するように設計または構成された前記反応チャンバは、堆積を実施するように設計または構成された前記反応チャンバと異なり、前記コントローラは、さらに、エッチングを実施するように設計または構成された前記反応チャンバと堆積を実施するように設計または構成された前記反応チャンバとの間で、真空条件下で前記基板を搬送するための命令を有する、装置。
JP2021508286A 2018-08-24 2019-08-19 高アスペクト比エッチングのための金属含有パシベーション Pending JP2021534589A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862722337P 2018-08-24 2018-08-24
US62/722,337 2018-08-24
PCT/US2019/047095 WO2020041213A1 (en) 2018-08-24 2019-08-19 Metal-containing passivation for high aspect ratio etch

Publications (1)

Publication Number Publication Date
JP2021534589A true JP2021534589A (ja) 2021-12-09

Family

ID=69591187

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021508286A Pending JP2021534589A (ja) 2018-08-24 2019-08-19 高アスペクト比エッチングのための金属含有パシベーション

Country Status (5)

Country Link
US (1) US11670516B2 (ja)
JP (1) JP2021534589A (ja)
KR (1) KR20210036992A (ja)
CN (1) CN112640064A (ja)
WO (1) WO2020041213A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023127817A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 基板処理方法及びプラズマ処理装置
JP7390199B2 (ja) 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JP7437966B2 (ja) * 2020-02-21 2024-02-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置
TW202303749A (zh) * 2021-05-20 2023-01-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
KR20240033271A (ko) * 2021-07-27 2024-03-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치
US20230058831A1 (en) * 2021-08-20 2023-02-23 Applied Materials, Inc. Molecular layer deposition liner for 3d nand
WO2023107492A1 (en) * 2021-12-08 2023-06-15 Tokyo Electron Limited Methods for etching molybdenum
US11987879B2 (en) * 2022-02-16 2024-05-21 Applied Materials, Inc. High aspect ratio taper improvement using directional deposition
US20240112903A1 (en) * 2022-09-29 2024-04-04 Applied Materials, Inc. Selective oxidation of a substrate
CN117894676B (zh) * 2024-03-15 2024-05-28 粤芯半导体技术股份有限公司 半导体结构的制备方法及半导体结构

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62502755A (ja) * 1985-04-02 1987-10-22 サントル・ナシヨナル・ド・ラ・ルシエルシエ・シヤンテイフイツク・(セ・エ−ヌ・エ−ル・エス) ルテニウム塩を基質とする薄層、その製造及び、特に、半導体及び/又は保護沈着物の組立又は形成の実施におけるその応用
JPH0393223A (ja) * 1989-09-06 1991-04-18 Hitachi Ltd 半導体装置の製造方法
JP2006041514A (ja) * 2004-07-15 2006-02-09 Interuniv Micro Electronica Centrum Vzw タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法
JP2008126374A (ja) * 2006-11-22 2008-06-05 Sumitomo Precision Prod Co Ltd 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
US20150105234A1 (en) * 2012-06-18 2015-04-16 University Of Florida Research Foundation, Inc. Tungsten nitrido precursors for the cvd of tungsten nitride, carbonitride, and oxide films
JP2015232177A (ja) * 2014-05-31 2015-12-24 ラム リサーチ コーポレーションLam Research Corporation フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法
US20160027645A1 (en) * 2014-07-25 2016-01-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming patterning by using the hardmask composition
JP2017501303A (ja) * 2013-12-18 2017-01-12 エーエスエム アイピー ホールディング ビー.ブイ. 硫黄含有薄膜
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20170170026A1 (en) * 2014-12-04 2017-06-15 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
JP2017163032A (ja) * 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置の製造方法
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ
JP2018041963A (ja) * 2016-09-07 2018-03-15 東京エレクトロン株式会社 ラップアラウンドコンタクト一体化スキーム
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043536A1 (en) * 2004-08-31 2006-03-02 Chih-Chen Co Implanted photoresist to reduce etch erosion during the formation of a semiconductor device
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP4972350B2 (ja) * 2006-06-30 2012-07-11 株式会社日立製作所 半導体装置の製造方法
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9171703B2 (en) * 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9944516B2 (en) 2015-04-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio etch without upper widening
US10515821B1 (en) * 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
WO2020190878A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Carbon based depositions used for critical dimension control during high aspect ratio feature etches and for forming protective layers
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62502755A (ja) * 1985-04-02 1987-10-22 サントル・ナシヨナル・ド・ラ・ルシエルシエ・シヤンテイフイツク・(セ・エ−ヌ・エ−ル・エス) ルテニウム塩を基質とする薄層、その製造及び、特に、半導体及び/又は保護沈着物の組立又は形成の実施におけるその応用
JPH0393223A (ja) * 1989-09-06 1991-04-18 Hitachi Ltd 半導体装置の製造方法
JP2006041514A (ja) * 2004-07-15 2006-02-09 Interuniv Micro Electronica Centrum Vzw タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法
JP2008126374A (ja) * 2006-11-22 2008-06-05 Sumitomo Precision Prod Co Ltd 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
US20150105234A1 (en) * 2012-06-18 2015-04-16 University Of Florida Research Foundation, Inc. Tungsten nitrido precursors for the cvd of tungsten nitride, carbonitride, and oxide films
JP2017501303A (ja) * 2013-12-18 2017-01-12 エーエスエム アイピー ホールディング ビー.ブイ. 硫黄含有薄膜
JP2015232177A (ja) * 2014-05-31 2015-12-24 ラム リサーチ コーポレーションLam Research Corporation フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法
US20160027645A1 (en) * 2014-07-25 2016-01-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming patterning by using the hardmask composition
US20170170026A1 (en) * 2014-12-04 2017-06-15 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP2017163032A (ja) * 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置の製造方法
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ
JP2018041963A (ja) * 2016-09-07 2018-03-15 東京エレクトロン株式会社 ラップアラウンドコンタクト一体化スキーム
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7390199B2 (ja) 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
WO2023127817A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 基板処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
WO2020041213A1 (en) 2020-02-27
US20210242032A1 (en) 2021-08-05
KR20210036992A (ko) 2021-04-05
US11670516B2 (en) 2023-06-06
CN112640064A (zh) 2021-04-09

Similar Documents

Publication Publication Date Title
JP2021534589A (ja) 高アスペクト比エッチングのための金属含有パシベーション
KR102644442B1 (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
US10304693B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
WO2016130238A1 (en) Selectively lateral growth of silicon oxide thin film
CN109791914B (zh) 用于互连结构的复合介电界面层
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
JP2022527468A (ja) エッチングストップ層
TW201806028A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
WO2023177594A1 (en) Sidewall passivation using aldehyde or isocyanate chemistry for high aspect ratio etch
TW202410175A (zh) 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210419

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240516

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240528