JP2018041963A - ラップアラウンドコンタクト一体化スキーム - Google Patents

ラップアラウンドコンタクト一体化スキーム Download PDF

Info

Publication number
JP2018041963A
JP2018041963A JP2017172005A JP2017172005A JP2018041963A JP 2018041963 A JP2018041963 A JP 2018041963A JP 2017172005 A JP2017172005 A JP 2017172005A JP 2017172005 A JP2017172005 A JP 2017172005A JP 2018041963 A JP2018041963 A JP 2018041963A
Authority
JP
Japan
Prior art keywords
film
metal
contact
dielectric film
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017172005A
Other languages
English (en)
Other versions
JP6937197B2 (ja
Inventor
エヌ.タピリー カンダバラ
N Tapily Kandabara
エヌ.タピリー カンダバラ
諭 中村
Satoshi Nakamura
諭 中村
スドゥ チェ
Soo Doo Chae
スドゥ チェ
明輝 高
Akiteru Ko
明輝 高
前川 薫
Kaoru Maekawa
薫 前川
ジェイ.ルーシンク ゲリット
J Leusink Gerrit
ジェイ.ルーシンク ゲリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2018041963A publication Critical patent/JP2018041963A/ja
Application granted granted Critical
Publication of JP6937197B2 publication Critical patent/JP6937197B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】 ラップアラウンドコンタクト一体化スキームを提供する。【解決手段】 本発明の実施形態は、コンタクト形成中の側壁保護を含むラップアラウンドコンタクト一体化スキームを提供する。一実施形態によると、基板加工方法は、第1誘電体膜中の隆起コンタクトと第1誘電体膜の上の第2誘電体膜とを含む基板を提供するステップと、第2誘電体膜の上に金属含有膜を堆積するステップと、金属含有膜中にマスク開口部をエッチングすることによってパターン化された金属含有膜を形成するステップとを含む。この方法は、パターン化された金属含有膜をマスクとして使用して、隆起コンタクトの上の第2誘電体膜中に凹状特徴の異方性エッチングを行うステップをさらに含み、この異方性エッチングにより、凹状特徴の側壁上にパターン化された金属含有膜の一部が再堆積されることによって、金属含有側壁保護膜が形成される。【選択図】図2F

Description

関連出願の相互参照
本出願は、2016年9月7日に出願された米国仮特許出願第62/384,494号明細書に関連しその優先権を主張するものであり、この内容全体が参照により本明細書に援用される。
本発明は、半導体製造および半導体デバイスの分野に関し、特に、コンタクト形成中の側壁保護を含むラップアラウンドコンタクト(WAC)一体化スキームに関する。
金属−酸化物−半導体電界効果トランジスタ(MOSFET)の現在および将来の世代では、寄生容量を厳密に制御しながら、同時に金属−半導体の接触抵抗を最適化する必要がある。FinFET構造では、フィンを包み込むコンタクトを形成することによって、またはファセットエピタキシャルコンタクトを成長させ、次にファセットエピタキシャルコンタクトの周囲を金属で包むことによって、接触面積の最大化を実現できる。FinFETおよび完全空乏シリコンオンインシュレータ(FDSOI)などの超薄トランジスタの本体構造の採用によって、ロジック製造に関する問題が悪化している。FinFET構造中の接触抵抗を減少させるために、デバイスメーカーは、広い領域で金属−半導体接触を可能にするWAC構造を考慮している。
本発明の実施形態は、コンタクト形成中の側壁保護を含むラップアラウンドコンタクト一体化スキームを提供する。一実施形態によると、基板加工方法は、第1誘電体膜中の隆起コンタクトと第1誘電体膜の上の第2誘電体膜とを含む基板を提供するステップと、第2誘電体膜の上に金属含有膜を堆積するステップと、金属含有膜中にマスク開口部をエッチングすることによってパターン化された金属含有膜を形成するステップとを含む。この方法は、パターン化された金属含有膜をマスクとして使用して、隆起コンタクトの上の第2誘電体膜中に凹状特徴の異方性エッチングを行うステップをさらに含み、この異方性エッチングにより、凹状特徴の側壁上にパターン化された金属含有膜の一部が再堆積されることによって、金属含有側壁保護膜が形成される。
本発明のより十分な理解およびそれに付随する利点の多くは容易に得られるが、その理由は、添付の図面と関連させて考慮して以下の詳細な説明を参照することによって、それらをより十分に理解できるからである。
本発明の一実施形態による基板の加工方法のプロセスフロー図である。 本発明の一実施形態による基板の加工方法を断面図で概略的に示している。 本発明の一実施形態によるパターン化されたAl膜の断面の走査型電子顕微鏡(SEM)画像を示している。 本発明の一実施形態による凹状特徴の側壁上に形成されたAl側壁保護膜の断面SEM画像を示している。 本発明の一実施形態による凹状特徴の側壁上に形成されたAl側壁保護膜の断面SEM画像を示している。 図4A中の基板のC、N、O、F、Al、およびSiの元素スキャンを示している。 図4A中の基板のAl、O、Si、およびCの元素マップを示している。 本発明の一実施形態によるAl膜のエッチングのための化学的酸化物除去(COR)方法の実験結果を示している。
図1は、本発明の一実施形態による基板の加工方法のプロセスフロー図1であり、図2A〜2Iは、本発明の一実施形態による基板の加工方法を断面図で概略的に示している。
この方法は、100において、第1誘電体膜200中の隆起コンタクト216と第1誘電体膜200の上の第2誘電体膜202とを含む基板2を提供するステップを含む。基板2は、第1誘電体膜200の上のエッチストップ層212、および第1誘電体膜200の下の誘電体膜218をさらに含む。エッチストップ層212は、第2誘電体膜202の中に凹状特徴を形成する間にエッチングを終了させるために使用することができる。一部の例では、第1誘電体膜200は、SiO、SiON、SiN、高k材料、低k材料、または超低k材料を含有することができる。一部の例では、第2誘電体膜202は、SiO、SiON、SiN、高k材料、低k材料、または超低k材料を含有することができる。隆起コンタクトは、SiGeまたはSiCを含むことができる。エッチストップ層212は、たとえば高k材料、SiN、SiO、炭素(C)、またはSiを含むことができる。
プロセスフロー図1は、102において、第2誘電体膜202の上に金属含有膜208を堆積するステップをさらに含む。これは図2Bに概略的に示されている。一実施形態によると、金属含有膜208は、原子層堆積(ALD)によってコンフォーマルに堆積することができる。原子レベルで厚さが制御され、先に形成された隆起特徴および凹状特徴の上で優れたコンフォーマリティを有する非常に薄い膜を、ALDによって堆積することができる。金属含有膜208は、金属酸化物膜、金属窒化物膜、金属酸窒化物膜、金属ケイ酸塩膜、およびそれらの組合せからなる群から選択することができる。一例では、金属酸化物膜は、Al、HfO、TiO、ZrO、Y、La、UO、Lu、Ta、Nb、ZnO、MgO、CaO、BeO、V、FeO、FeO、CrO、Cr、CrO、MnO、Mn、RuO、およびそれらの組合せからなる群から選択することができる。一部の例では、金属含有膜208の厚さは、約10nm〜約100nmの間、約10nm〜約50nmの間、約5nm〜約10nmの間、約10nm〜約30nmの間、約30nm〜約100nmの間、約50nm〜約100nmの間、約50nm未満、または約30nm未満であってよい。
一例では、金属含有膜208は、a)基板が入れられたプロセスチャンバー中に金属含有前駆体をパルス化するステップ、b)プロセスチャンバーに不活性ガスをパージするステップ、c)プロセスチャンバー中に酸素含有前駆体をパルス化するステップ、d)プロセスチャンバーに不活性ガスをパージするステップ、およびe)少なくとも1回a)〜d)を繰り返すステップによってALDを用いて堆積される金属酸化物膜を含むことができる。
プロセスフロー図1は、104において、金属含有膜208の中にマスク開口部213をエッチングすることによって、パターン化された金属含有膜219を形成するステップをさらに含む。これは図2Cに概略的に示されている。金属含有膜208のパターン化は、周知のリソグラフィおよびエッチング方法を用いて行うことができる。一例では、金属含有膜208は、BClガスおよびHeガスを含有するプラズマ励起プロセスガスを用いてパターン化可能なAl膜を含むことができる。
プロセスフロー図1は、106において、パターン化された金属含有膜219をマスクとして使用して、隆起コンタクト216の上の第2誘電体膜202中に凹状特徴215の異方性エッチングを行うステップをさらに含み、この異方性エッチングにより、凹状特徴215の側壁201上にパターン化された金属含有膜219の一部が再堆積されることによって、金属含有側壁保護膜214が形成される。これは図2Dに概略的に示されている。
凹状特徴215は、たとえば、200nm未満、100nm未満、50nm未満、25nm未満、20nm未満、または10nm未満の幅207を有することができる。別の例では、凹状特徴215は、5nm〜10nmの間、10nm〜20nmの間、20nm〜50nmの間、50nm〜100nmの間、100nm〜200nmの間、10nm〜50nmの間、または10nm〜100nmの間の幅207を有することができる。幅207は限界寸法(CD)と呼ばれる場合もある。凹状特徴215は、たとえば25nm、50nm、100nm、200nm、またはそれを超える深さを有することができる。
本発明のある実施形態によると、凹状特徴215のエッチング中に形成される金属含有側壁保護膜214は、基板2のさらなる加工中の腐食または損傷から第2誘電体膜202の側壁201を保護するために利用することができる。さらなる加工としては、凹状特徴215からエッチング残渣を除去するための1つ以上の洗浄プロセス、および/または凹状特徴215の幅207を顕著に増加させることなく第1誘電体膜200中にコンタクト開口部をエッチングするために行うことができる1つ以上の異方性または等方性エッチングプロセスを挙げることができる。一実施形態によると、金属含有側壁保護膜214は、凹状特徴215中で変動する厚さを有する場合があるし、側壁201全体を覆わない場合もある。たとえば、金属含有側壁保護膜214は、凹状特徴215の上部付近よりも凹状特徴215の底部付近で薄くてよい。一例では、金属含有側壁保護膜214は、凹状特徴215の底部付近の側壁では存在しない、または非常に薄い場合がある。
基板2のさらなる加工は、エッチストップ層212を通過してエッチングして、第1誘電体膜200中にくぼみ205を形成するために異方性エッチングプロセスを使用するステップを含むことができる。これは図2Eに概略的に示されている。一例では、異方性エッチングプロセスは、デジタルエッチングプロセスまたは原子層エッチング(ALE)プロセスを含むことができる。その後、異方性エッチングプロセスを用いて、凹状特徴215を第1誘電体膜200中の隆起コンタクト216まで延長することができる。これは図2Fに概略的に示されている。金属含有側壁保護膜214は、異方性エッチングプロセス中の側壁201のエッチングの防止または軽減のために十分な厚さおよび耐エッチング性を有することができ、これによって凹状特徴215中のCDの減少を防止することができる。
異方性エッチングプロセスの後、等方性エッチングプロセスを用いて、凹状特徴215の下の第1誘電体膜200の中に、隆起コンタクト216を含むコンタクト開口部210を形成することができる。これは図2Gに概略的に示されている。コンタクト開口部210は、凹状特徴215の幅207よりも広い幅211を有する。等方性エッチングプロセス中、金属含有側壁保護膜214によって、側壁201のエッチングが防止または軽減される。一部の例では、等方性エッチングプロセスは、CORプロセスを利用することができ、または希薄HF溶液(DHF)を使用することができる。CORプロセスは、HFおよびNHの交互の気体曝露を同時に利用することができ、続いて熱処理によって基板2からエッチング副生成物を除去することができる。
等方性エッチングプロセスの後、金属含有側壁保護膜214を基板2から除去することができる。これは図2Hに概略的に示されている。一実施形態によると、ALEを用いて、パターン化された金属含有膜219および金属含有側壁保護膜214を除去することができる。一例では、Al膜は、ALEを用いてトリメチルアミン(TMA)およびHFによって除去することができる。別の一例では、Al膜はプラズマ励起BClおよびArを用いて除去することができる。その後、コンタクト金属層および障壁層を、凹状特徴215中の側壁201上、および隆起コンタクト216上を含めたコンタクト開口部210中の表面上に連続して堆積することができる。コンタクト金属層/障壁層は、図2I中に層221として概略的に示されている。一例では、コンタクト金属層は、Ti金属層、Co金属層、またはNi金属層を含むことができる。一例では、コンタクト金属層はTi金属層を含むことができ、障壁層はTiN層を含むことができる。その後、凹状特徴215およびコンタクト開口部210に金属222を充填することができる。これは図2Jに概略的に示されている。一例では、金属は、Ru、Rh、Os、Pd、Ir、Pt、Ni、Co、W、およびそれらの組合せからなる群から選択することができる。
図3Aは、本発明の一実施形態によるパターン化されたAl膜の断面SEM画像を示している。このAl膜は、ALDによってSi基板上にAl膜を堆積し、その後、パターン化されたフォトレジスト層と、BClガスおよびHeガスを含有するプラズマ励起プロセスガスとを用いて、Al膜中にマスク開口部をエッチングすることによって形成した。図3Bは、本発明の一実施形態による凹状特徴の側壁上に形成されたAl側壁保護膜の断面SEM画像を示している。一実施形態によると、これらの凹状特徴およびAl側壁保護膜は、図3Aの構造をBClガスとプラズマ励起ArガスまたはHeガスとに交互に曝露することによって形成することができる。
図4Aは、本発明の一実施形態による凹状特徴の側壁上に形成されたAl側壁保護膜の断面SEM画像を示している。図4A中の膜構造は、上部から底部までで、Al/SiO/SiN/Siを含んだ。C、N、O、F、Al、およびSiの元素マップを形成するために、この断面SEM画像の化学組成を求める元素スキャンを水平線400に沿って左から右まで行った。結果を図4Bに示しており、これによるとAl側壁保護膜が凹状特徴の側壁上に存在している。
図5A〜5Dは、図4A中の基板のAl、O、Si、およびCの元素マップを示している。図5Aでは、Al側壁保護膜が元素マップ中に明確に見られる。
図6は、本発明の一実施形態によるAl膜をエッチングするためのCORプロセスの実験結果を示している。トレース130および134は、CORプロセス前のAl膜厚さを示しており、トレース132および134はCORプロセス後のAl膜厚さを示している。これらの結果は、Al膜がCORプロセス中にエッチングされないことを示しており、したがって、たとえば図2G中のコンタクト開口部210の形成に使用される等方性CORエッチングプロセス中に、Alを凹状特徴の側壁の保護膜として使用できる。
コンタクト形成中の側壁保護を含むラップアラウンドコンタクト一体化スキームを実施する方法を種々の実施形態で開示してきた。本発明の実施形態の以上の記述は、例示および説明の目的で提供されている。網羅的となること、および開示される厳密な形態に本発明が限定されることを意図するものではない。この記述および以下の請求項は、説明のみを目的として使用される用語を含み、限定として解釈すべきではない。関連分野の当業者であれば、上記教示を考慮することで多くの修正および変形が可能であることを理解できる。当業者であれば、図中に示される種々の構成要素に対する種々の同等の組合せおよび代替物を認識するであろう。したがって、本発明の範囲は、この詳細な説明によって限定されるのではなく、本明細書に添付の請求項によって限定されることが意図される。
1 プロセスフロー図
2 基板
130 トレース
132 トレース
134 トレース
200 第1誘電体膜
201 側壁
202 第2誘電体膜
205 くぼみ
207 幅
208 金属含有膜
210 コンタクト開口部
211 幅
212 エッチストップ層
213 マスク開口部
214 金属含有側壁保護膜
215 凹状特徴
216 隆起コンタクト
218 誘電体膜
219 パターン化された金属含有膜
221 層
222 金属
400 水平線

Claims (20)

  1. 基板加工方法であって、
    第1誘電体膜中の隆起コンタクトと前記第1誘電体膜上の第2誘電体膜とを含む基板を提供するステップと、
    前記第2誘電体膜の上に金属含有膜を堆積するステップと、
    前記金属含有膜中にマスク開口部をエッチングすることによってパターン化された金属含有膜を形成するステップと、
    前記パターン化された金属含有膜をマスクとして使用して、前記隆起コンタクトの上の前記第2誘電体膜中に凹状特徴の異方性エッチングを行うステップであって、前記異方性エッチングにより、前記凹状特徴の側壁上に前記パターン化された金属含有膜の一部が再堆積されることによって、金属含有側壁保護膜が形成されるステップと、
    を含む方法。
  2. 前記第1誘電体膜中にコンタクト開口部の等方性エッチングを行って、前記第2誘電体膜中の前記凹状特徴の下の前記隆起コンタクトを露出させるステップであって、前記コンタクト開口部の幅が、前記金属含有側壁保護膜によって画定される前記凹状特徴の幅よりも広いステップをさらに含み、請求項1に記載の方法。
  3. 前記等方性エッチングのステップの後、前記パターン化された金属含有膜および前記金属含有側壁保護膜を前記基板から除去するステップをさらに含む、請求項2に記載の方法。
  4. 前記コンタクト開口部の等方性エッチングのステップの前に、前記凹状特徴を前記第1誘電体膜中の前記隆起コンタクトまで延長するステップをさらに含む、請求項2に記載の方法。
  5. 前記凹状特徴の中、および前記コンタクト開口部中の前記隆起コンタクトの上に、コンタクト金属層を堆積するステップをさらに含む、請求項2に記載の方法。
  6. 前記コンタクト金属層が、Ti金属層、Co金属層、またはNi金属層を含む、請求項5に記載の方法。
  7. 前記コンタクト金属層の上に障壁層を堆積するステップをさらに含む、請求項5に記載の方法。
  8. 前記障壁層がTiN層を含む、請求項7に記載の方法。
  9. 前記凹状特徴および前記コンタクト開口部に金属を充填するステップをさらに含む、請求項2に記載の方法。
  10. 前記金属がRu、Rh、Os、Pd、Ir、Pt、Ni、Co、W、およびそれらの組合せからなる群から選択される、請求項9に記載の方法。
  11. 前記の充填するステップの前に、前記金属含有側壁保護膜を前記凹状特徴から除去するステップをさらに含む、請求項9に記載の方法。
  12. 前記第1誘電体膜、前記第2誘電体膜、または前記第1および第2誘電体膜の両方がSiOを含む、請求項1に記載の方法。
  13. 前記金属含有膜が、金属酸化物膜、金属窒化物膜、金属酸窒化物膜、金属ケイ酸塩膜、およびそれらの組合せからなる群から選択される、請求項1に記載の方法。
  14. 前記金属酸化物膜が、Al、HfO、TiO、ZrO、Y、La、UO、Lu、Ta、Nb、ZnO、MgO、CaO、BeO、V、FeO、FeO、CrO、Cr、CrO、MnO、Mn、RuO、およびそれらの組合せからなる群から選択される、請求項13に記載の方法。
  15. 前記隆起コンタクトがSiGeまたはSiCを含む、請求項1に記載の方法。
  16. 基板加工方法であって、
    第1誘電体膜中の隆起コンタクトと前記第1誘電体膜の上の第2誘電体膜とを含む基板を提供するステップと、
    前記第2誘電体膜の上にAl膜を堆積するステップと、
    前記Al膜中にマスク開口部をエッチングすることによってパターン化されたAl膜を形成するステップと、
    前記パターン化されたAl膜をマスクとして使用して、前記隆起コンタクトの上の前記第2誘電体膜中に凹状特徴の異方性エッチングを行うステップであって、前記異方性エッチングにより、前記凹状特徴の側壁上に前記パターン化されたAl膜の一部が再堆積されることによって、Al側壁保護膜が形成されるステップと、
    前記第1誘電体膜中にコンタクト開口部の等方性エッチングを行って、前記第2誘電体膜中の前記凹状特徴の下の前記隆起コンタクトを露出させるステップであって、前記コンタクト開口部の幅が、前記Al側壁保護膜によって画定される前記凹状特徴の幅よりも広いステップと、
    を含む方法。
  17. 前記等方性エッチングのステップの後、前記パターン化されたAl膜および前記Al側壁保護膜を前記基板から除去するステップをさらに含む、請求項16に記載の方法。
  18. 前記コンタクト開口部の等方性エッチングのステップの前に、前記凹状特徴を前記第1誘電体膜中の前記隆起コンタクトまで延長するステップをさらに含む、請求項16に記載の方法。
  19. 前記凹状特徴の中、および前記コンタクト開口部中の前記隆起コンタクトの上に、コンタクト金属層を堆積するステップと、
    前記コンタクト金属層の上に障壁層を堆積するステップと、
    をさらに含む請求項16に記載の方法。
  20. 前記凹状特徴および前記コンタクト開口部に、Ru、Rh、Os、Pd、Ir、Pt、Ni、Co、W、およびそれらの組合せからなる群から選択される金属を充填するステップをさらに含む、請求項16に記載の方法。
JP2017172005A 2016-09-07 2017-09-07 ラップアラウンドコンタクト一体化スキーム Active JP6937197B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662384494P 2016-09-07 2016-09-07
US62/384,494 2016-09-07

Publications (2)

Publication Number Publication Date
JP2018041963A true JP2018041963A (ja) 2018-03-15
JP6937197B2 JP6937197B2 (ja) 2021-09-22

Family

ID=61281491

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017172005A Active JP6937197B2 (ja) 2016-09-07 2017-09-07 ラップアラウンドコンタクト一体化スキーム

Country Status (3)

Country Link
US (1) US10217670B2 (ja)
JP (1) JP6937197B2 (ja)
KR (1) KR102351679B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション
US11587871B2 (en) 2018-08-24 2023-02-21 Kioxia Corporation Semiconductor device and method of manufacturing same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020047838A (ja) 2018-09-20 2020-03-26 キオクシア株式会社 半導体デバイス
US11929280B2 (en) 2020-09-22 2024-03-12 Changxin Memory Technologies, Inc. Contact window structure and method for forming contact window structure
EP4002437B1 (en) 2020-09-22 2023-08-02 Changxin Memory Technologies, Inc. Method of forming a contact window structure

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JP2001156170A (ja) 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
US20030064585A1 (en) 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
JP2006041365A (ja) 2004-07-29 2006-02-09 Toshiba Corp 半導体記憶装置とその製造方法
US20060049132A1 (en) 2004-09-07 2006-03-09 Nanya Technology Corporation Etchant composition and the use thereof
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
KR100827529B1 (ko) 2007-04-17 2008-05-06 주식회사 하이닉스반도체 다중채널을 갖는 반도체 소자 및 그의 제조 방법
US8008095B2 (en) * 2007-10-03 2011-08-30 International Business Machines Corporation Methods for fabricating contacts to pillar structures in integrated circuits
US7671394B2 (en) 2007-10-17 2010-03-02 International Business Machines Corporation Embedded trench capacitor having a high-k node dielectric and a metallic inner electrode
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8421139B2 (en) 2010-04-07 2013-04-16 International Business Machines Corporation Structure and method to integrate embedded DRAM with finfet
JP2013065672A (ja) 2011-09-16 2013-04-11 Toshiba Corp 半導体装置および半導体装置の製造方法
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
DE102014202845A1 (de) 2014-02-17 2015-08-20 Robert Bosch Gmbh Verfahren zum Strukturieren eines Schichtaufbaus aus zwei Halbleiterschichten und mikromechanisches Bauteil
US9837304B2 (en) 2015-06-24 2017-12-05 Tokyo Electron Limited Sidewall protection scheme for contact formation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション
US11587871B2 (en) 2018-08-24 2023-02-21 Kioxia Corporation Semiconductor device and method of manufacturing same
JP7507146B2 (ja) 2018-08-24 2024-06-27 ラム リサーチ コーポレーション 高アスペクト比エッチングのための金属含有パシベーション

Also Published As

Publication number Publication date
US10217670B2 (en) 2019-02-26
KR20180028045A (ko) 2018-03-15
KR102351679B1 (ko) 2022-01-13
US20180068899A1 (en) 2018-03-08
JP6937197B2 (ja) 2021-09-22

Similar Documents

Publication Publication Date Title
JP6937197B2 (ja) ラップアラウンドコンタクト一体化スキーム
US9837304B2 (en) Sidewall protection scheme for contact formation
TWI621266B (zh) 半導體元件及其製造方法
US11114347B2 (en) Self-protective layer formed on high-k dielectric layers with different materials
JP2005512326A (ja) 非平坦性の影響を最小限にするトランジスタ金属ゲート構造および製造方法
CN108122744B (zh) 半导体器件及其制造方法
TWI633603B (zh) 半導體裝置與其製造方法
US10283417B1 (en) Self-protective layer formed on high-k dielectric layers with different materials
TW201926548A (zh) 半導體結構的製造方法
US8980701B1 (en) Method of forming semiconductor device
US10381448B2 (en) Wrap-around contact integration scheme
TWI647822B (zh) 三維非揮發性記憶體及其製造方法
US7892929B2 (en) Shallow trench isolation corner rounding
TWI832034B (zh) 半導體裝置及其製造方法
TW201725722A (zh) 半導體裝置及其製造方法
US20220173222A1 (en) Semiconductor Device and Method of Manufacture
JP2011187498A (ja) 半導体装置の製造方法
JP2006203109A (ja) 半導体装置およびその製造方法
US11393718B2 (en) Semiconductor structure and method for forming the same
US11688782B2 (en) Semiconductor structure and method for forming the same
JP4471986B2 (ja) 半導体装置の製造方法
US20180294168A1 (en) Method for anisotropic dry etching of titanium-containing films
US7563654B2 (en) Method of manufacturing semiconductor device for formation of pin transistor
KR100715272B1 (ko) 게이트 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US20160071954A1 (en) Robust post-gate spacer processing and device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200903

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210730

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210803

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210830

R150 Certificate of patent or registration of utility model

Ref document number: 6937197

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150