JP2006041514A - タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法 - Google Patents

タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法 Download PDF

Info

Publication number
JP2006041514A
JP2006041514A JP2005207394A JP2005207394A JP2006041514A JP 2006041514 A JP2006041514 A JP 2006041514A JP 2005207394 A JP2005207394 A JP 2005207394A JP 2005207394 A JP2005207394 A JP 2005207394A JP 2006041514 A JP2006041514 A JP 2006041514A
Authority
JP
Japan
Prior art keywords
composition
copper
hno
acid
slurry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005207394A
Other languages
English (en)
Other versions
JP4832819B2 (ja
Inventor
Didem Ernur
ディデム・エルヌール
Valentina Terzieva
ヴァレンティーナ・テルツィエヴァ
Jorg Schuhmacher
イェルク・シューマッハー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2006041514A publication Critical patent/JP2006041514A/ja
Application granted granted Critical
Publication of JP4832819B2 publication Critical patent/JP4832819B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

【課題】商業的に入手可能な研磨スラリーの欠陥を解消し、電解腐食を防止しつつ、一体化されたW含有バリアを備えたCu構造物を研磨することを可能にするスラリー組成物を提供する。
【解決手段】タングステンを含んでいるバリア層と一体化された銅を研磨するためのスラリー組成物と、CMP法におけるその使用方法とに関するものであり、また、タングステンを含有しているバリア層と一体化された銅を研磨するための方法に関するものである。この方法は、研磨粒子と、タングステンを含有しているバリアメタルの電解腐食を防止する銅エッチング液であるHNOなどの無機酸と、銅の腐食を十分に防止するための少なくとも1つの有機化合物とを含んでいるスラリー(水溶液)を用いて行われる。
【選択図】図1

Description

(関連する出願の相互参照)
本出願は、2004年7月15日に出願された米国仮出願第60/588,247号について、米国特許法第119条(e)に係る優先権を主張するものである。上記先行出願は、参照により全面的に本明細書に組み入れられ、これにより本明細書の一部をなすものである。
本発明は、半導体処理の技術分野に関する。より詳しくは、本発明は、タングステン系のバリア層と一体化された銅の化学機械研磨(CMP)処理に関する。さらに詳しくは、本発明は、タングステンの電解腐食を防止するとともに銅腐食を招くことなく銅を十分に除去しつつ、銅を研磨し、この後、タングステンを含有しているバリア層を研磨するための新規なスラリー組成物及び方法に関する。
銅(Cu)は、アルミニウム(Al)に比べて、抵抗率が低く、かつエレクトロマイグレーション抵抗が高いので、超大規模集積回路(ULSI回路)を作成するためのえり抜きの相互接続材料として選択される。これは、Cu相互接続部を形成するためのダマシンプロセスの利用を必要とする。Alのメタライゼーションとは異なり、ダマシンプロセスは、電気化学析出(ECD)による、パターン化された誘電体上へのCuの堆積に依存する。Cuは誘電体内に容易に拡散するので、Cuの堆積に先立って拡散バリアが堆積させられる。
形状寸法が小さくなるのに伴って、かつ、0.13mm未満の作成技術のための物理的気相成長(PVD)プロセスによる制限により、より薄い膜厚を備えた有効な拡散バリアの要求が増加している。これは、バリアメタルの堆積のための原子層堆積(ALD)の使用を必要とする。これは、ALDは薄く均一な膜を堆積させるからである。
マイクロ電子デバイスの製造において、Cuは、多くの理由により、腐食を受けることが多い。銅は貴金属であるものの、酸化環境においては容易に反応する。ICの製造時におけるCu相互接続部の腐食の大半は、CuがCMPスラリーと接触するCMPプロセスで生じることは確実である。
化学機械的な平坦化又は研磨(CMP)は、過剰な銅の表皮とバリアメタルとを研磨して表面を平坦化するのに用いられる。
CMP時に生じるCu腐食のメカニズムとしては、化学腐食、光腐食、狭トレンチ腐食及び電解腐食が報告されている。電解腐食(異種金属接触腐食とも称される)は、電気接触及びイオン接触している2つの異種の金属間での電気化学的な不適合に起因して生じる。かくして、バリアメタルがCuと電気化学的に不適合である場合、スラリーが電解液として機能するときに、CMPプロセスで電解腐食が起こる。かくして、陽極として機能するバリアメタル又はCuは、ガルバニ結合の結果としてそのエッチングが促進されるといった不具合が生じる。
Ta、TaN、Ti、TiN、W及び窒化炭化タングステン(WNC)などの多数のバリアメタルは、堆積が容易であり、ステップカバレージが良好であり、かつCuと誘電体との間の接着性が良好であるので、拡散バリアとしての用途に対する研究の対象となっている。
しかしながら、電解腐食の原因となるとの報告がいくつかなされている。タングステンを含有しているバリア、例えばWNCはHを含有しているスラリーが用いられるときに、電解腐食が生じる。これは、銅の界面で、また研磨後においてはバリア層で、トレンチの頂上角部でバリアメタルの減損が生じるということを意味する。
実際、CMP時におけるタングステン(W)のエッチングは、W複合酸化物の酸化及びこれに続くスラリー中への溶解に起因し、複雑な表面膜を形成することになる。
多くの場合、酸化は2段階で生じる。Hは、複雑な非化学量論的WO/WO複合酸化物を形成することが証明されている。この複合酸化物は、Hが存在する場合は、存在しない場合に比べて、より多く溶解するといわれている。
非常に薄いバリア層(例えば、ALD堆積WNCバリア等)の採用は、この問題をより危機的なものにする。
ALD(原子層堆積)WNCバリアメタルの化学エッチングのメカニズムはまた、溶液中のHによるWNC膜のW中のWの酸化にも起因するということは確実である。W含有バリア層中のWの酸化及びこれに続く溶解は、W含有バリア層とCu層のガルバニ結合に起因して大幅に促進される。これは、W層とCu層との間に高い電位差を生じさせる。
それゆえ、銅のメタライゼーション計画においてWCNなどのW含有バリア層の良好な一体化は、スラリー中に別の酸化剤を用いることを必要とする。
商業的に入手可能な研磨スラリーの欠陥を解消し、電解腐食を防止しつつ、一体化されたW含有バリアを伴ったCu構造物を研磨することを可能にするスラリー組成物を提供することが、本発明の好ましい実施態様の目的である。かくして、Cuダマシン構造物における薄いW含有バリア層の一体化が可能となる。
好ましい実施態様は、W含有バリア層(W-containing barrier layer)と一体化された(integrated)銅を化学機械研磨するための新規なスラリー(水系)組成物を提供する。このスラリー組成物(slurry composition)は、タングステンの溶解に至る電解腐食を回避する。
好ましい実施態様は、HNOと少なくとも1つの銅の腐食防止剤(corrosion inhibitor)とを含有している、W含有バリア層と一体化された銅を研磨するための新規なスラリー組成物を提供する。
好ましい実施態様は、少なくとも1つの有機化合物と組み合わせられたHNOを含有し、有機化合物が好ましくは少なくとも1つの糖化合物及び/又は有機酸である新規なスラリー組成物を提供する。
もう1つの目的は、Cu及び/又はW含有バリアの電解腐食を防止又は低減するための好ましい実施態様に係る組成物の使用方法に関する。
好ましい実施態様はまた、好ましい実施態様に係るスラリー組成物を用いて、Cu及び/又はバリアの電解腐食を回避(又は低減)しつつ、Cu構造物及びそれらが一体化されたW含有バリア層を研磨するための方法を提供する。
好ましい実施態様は、HNOと少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸とを含有しているスラリー組成物に上記層を接触させる(又は層を研磨する)ステップを含んでいる、W含有バリア層と一体化された銅を化学機械研磨するための方法を提供する。
また、Hを含有しているスラリー組成物により銅の表皮(overburden)を実質的に除去するステップと、少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸と組み合わせられたHNOを含有しているスラリー組成物により残留している銅の表皮を除去するステップとを含んでいる研磨方法を提供する。
残留している銅の表皮を除去する第2のステップは、好ましい実施態様に係るスラリー組成物により実施される。
好ましい実施態様の組成物における糖化合物は、好ましくは、果糖、ガラクトース及び/又はブドウ糖などの単糖又は単糖混合物である。
糖化合物はまた、アミノ糖化合物及び/又は糖アルコール化合物であってもよい。
糖化合物はまた、ピラノシド(pyranoside)であってもよい。
好ましい実施態様に係る組成物において、糖化合物の濃度は、好ましくは、該組成物の全重量に対して、約0.5重量%から約15重量%までの範囲である。
ガラクトースを含有している好ましい実施態様に係る組成物において、ガラクトースの濃度は、好ましくは、該組成物の全重量に対して、約1重量%である。
果糖を含有している好ましい実施態様に係る組成物において、果糖の濃度は、好ましくは、該組成物の全重量に対して、約10重量%である。
好ましい実施態様に係る組成物における有機酸化合物は、モノカルボン酸又はポリカルボン酸であってもよい。好ましくは、有機酸は、クエン酸又は酢酸であり、より好ましくはリンゴ酸である。
有機酸化合物はまた、糖化合物から生成されたものであってもよく、本明細書ではこれらを「糖酸(sugar acid)」ということにする。これらの「糖酸」の好ましい例は、ブドウ糖から生成される、「アルドン酸(例えば、グルコン酸からのもの)」、「アルドウロン酸(例えば、グルクロン酸からのもの)」及び「アルダル酸(例えば、グルカル酸からのもの)」を含む。これらの酸は、銅に対して高い腐食防止効果を発揮する。このような理由によれば、これらの糖誘導体は、まさに好ましい有機酸化合物である。
好ましい実施態様に係る組成物において、有機酸化合物の濃度は、好ましくは、該組成物の全重量に対して、約0.0001重量%から約0.5重量%までの範囲である。
酸化された糖化合物を含有している組成物において、酸化された糖化合物の濃度は、好ましくは、該組成物の全重量に対して、約0.0001重量%から約0.5重量%までの範囲である。
好ましい実施態様に係る組成物において、HNOの濃度は、好ましくは、該組成物の全重量に対して、約1.5重量%から約5.0重量%までの範囲である。
好ましい実施態様に係る好ましい組成物は、該組成物の全重量に対して、約1.5重量%から約5.0重量%までの範囲の濃度のHNOを含有し、かつ、約0.5重量%から約15重量%までの範囲の濃度の少なくとも1つの糖化合物、好ましくは少なくとも1つの単糖を含有している。
好ましい実施態様に係るより好ましい組成物は、該組成物の全重量に対して、約1.5重量%から約5.0重量%までの範囲の濃度のHNOを含有し、かつ、約0.0001重量%から約0.5重量%までの範囲の濃度の少なくとも1つの酸化された糖化合物を含有している。
すべての図は、好ましい実施の形態のいくつかの態様を示すことを意図しているだけであり、すべての代替物及び任意的追加物を示しているわけではない。それゆえ、好ましい実施の形態は、添付の図面の内容に限定されるものではない。
図1は、Cu−WNCガルバニ結合に対するガルバニ電流変化を、浸漬時間(dip time)の関数として示している。Hベース(H2O2 based)のスラリー(商業的に入手可能なスラリー)については、より高いガルバニ電流値が観察されている。図1はまた、HNOベース(HNO3 based)の溶液が最も低いガルバニ電流値を有することを示している。さらに、9体積%H溶液中のリンゴ酸及びクエン酸はより高いガルバニ電流値を示している。
図2は、Cuに対するガルバニ結合の場合と化学薬品のみの浸漬(chemical only dip)の場合とについて、WNCのエッチング速度を比較して示している。ここで、(a)はHベースの市販のスラリーを用いた場合であり、(b)は9体積%のHを含有しているクエン酸溶液を用いた場合であり、(c)は9体積%のHを含有しているリンゴ酸溶液を用いた場合である。ガルバニ結合はすべての溶液に対してより高いエッチング速度値を生じさせることが示されている。しかしながら、商業的に入手可能なHベースのスラリーは、クエン酸又はリンゴ酸を含有しているHベースのスラリーと比べて、より高いエッチング速度を示している。
図3は、異なる溶液中における、基準Pt電極に対するCu及びWNCの電位差(potential difference)を示している。Pt−CuとPt−WNCとの間の電位差が大きいほど、WNC及びCuは、かかる溶液に一緒に投入されたときに、より大きな電解腐食を被る。ここでテストされた溶液は、Hベースの市販のスラリーと、9体積%のHを含有しているクエン酸溶液と、9体積%のHを含有しているリンゴ酸溶液と、HNOベースの溶液とを含んでいる。これによれば、HNOベースの溶液は最も低い電位差を生じさせている。
図4(a)、(b)は、それぞれ、WNCバリア層を備えたCuダマシン構造物のTEM画像と、TaNバリア層を備えたCuダマシン構造物のTEM画像とを示している。ここで、両構造物は、エッチング液として過酸化物(ここでは、H)を含有する研磨スラリーを用いて研磨された。WNCとCuとの界面ではトレンチの頂上角部にWNCの減損(loss)があるが、Hによる研磨後におけるTaの減損は観察されていない。これは、Ta(N)含有バリアを備えたCu構造物には電解腐食効果は存在しなかったということを意味する。
図5(a)、(b)は、それぞれ、WNCバリア層を備えたCuダマシン構造物のTEM画像と、Ta(N)バリア層を備えたCuダマシン構造物のTEM画像とを示している。ここで、両構造物は、エッチング液としてHを含有する研磨スラリーを用いて研磨されている。WNCとCuとの界面ではトレンチの頂上角部にWNCの減損があるが、Hによる研磨後においてはTaの減損は観察されていない。これは、Ta(N)含有バリアを備えたCu構造物には電解腐食効果が存在しないということを意味する。
図6は、好ましい実施の形態に係るスラリー組成物を用いたCu−WNCのガルバニ結合によるガルバニ電流を、時間の関数として示している。ここで、スラリー組成物は、HNOを含有し、さらに、それぞれ、リンゴ酸(MA)と、ガラクトースと、クエン酸(CA)と、酢酸(AA)と、ブドウ糖と、果糖とを含有している。
図7は、異なるスラリー組成物を用いたときのPt電極に対する電位差を示している。
図8は、一体化されたWNCバリア層を備えたCu構造物を研磨した後における断面SEM画像を示している。ここでは、0.2μmの孤立した導線及び0.2μmの半導体密集線(semi-dense lines)に対して、リンゴ酸を含有するHNOベースのスラリーを用いている。
図9は、0.2μmの孤立した導線(左側)及び1.0μmの孤立した導線(右側)に対して、リンゴ酸を含有しているHNOベースのスラリーを用いて研磨した後における断面TEM画像を示している。
図10は、Hを含有している商業的に入手可能なスラリー中、及び、銅の腐食を防止する有機化合物(有機酸又は単糖)が添加されたHNOベースの溶液中におけるCu/WNC構造の化学浸漬の後に得られた電流変化を、時間の関数として示している。
図11は、異なる糖化合物を含有している溶液に対する銅の静的エッチング速度値(static etch rate)を示している。糖酸(saccharic acid)(グルカル酸としても知られている)や粘液酸(D−ガラクタル酸としても知られている)などの糖の誘導体は、銅の静的エッチングを低減するのにより有効であり、このためより高い腐食防止特性を発揮する。
図12(a)〜図12(c)は、好ましい実施の形態に係る2段階式の研磨方法(CMP)を示している。図12(a)は、Cuの表皮を伴った研磨(CMP)前におけるCuダマシン構造物を示している。図12(b)は、Hを含有しているスラリーを用いる第1の研磨段階の後における結果的な構造物を示している。ここで、Cuの表皮の3/4を超える部分が除去されている(好ましくは、Cuの表皮の約90%が除去され、及び/又は、約10nmが残る)。図12(c)は、残留しているCu及びWNCバリアの表皮を除去するための、好ましい実施の形態に係るHNOベースのスラリー組成物を用いる第2の研磨段階の後における結果的な構造物を示している。
以下、添付の図面を参照しつつ、本発明の好ましい実施の形態を詳しく説明する。
以下の説明及び具体例は、本発明の好ましい実施の形態を詳しく示している。当業者であれば、本発明の範囲に包含される、本発明の多数の変形例及び修正例が存在することを理解できるであろう。したがって、好ましい実施の形態の説明は、本発明の範囲を限定するものと解釈されるべきではない。
好ましい実施の形態の記載において、「(研磨)スラリー組成物」との語句は、研磨剤粒子が好ましい実施の形態に係る水系の組成物中に存在することを意味する。研磨剤粒子は、例えば、コロイダルシリカ(SiO)粒子、アルミナ(Al)粒子などの金属酸化物粒子、又は既知のその他の任意の研磨剤粒子である。
好ましい実施の形態に係るスラリー(水系)組成物は、HNOと、銅のための少なくとも1つの腐食防止剤と、使用は任意である銅の研磨を促進するためのその他の添加剤とを含有している。
好ましい実施の形態に係るスラリー組成物は、HNOと、腐食防止剤として機能する少なくとも1つの有機化合物とを含有している。有機化合物は、好ましくは、少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸を含有している。
HNOは、Cuのための酸化性化合物として機能し、一体化されたW含有バリア層を備えたCu構造物を研磨するのに用いられるときに電解腐食を生じさせない。
HNOは、従来用いられている酸化剤、すなわちHにとって代わるものである。それゆえ、HNOと少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸とを含有するが、Hを含有しない組成物もまた予定されている。
糖又は有機酸は、銅のための腐食防止剤として機能する。
有機酸と組み合わせられるHNOの好ましい濃度は、組成物の全重量に対して、(約)1.5重量%から(約)5.0重量%までである。より好ましくは、有機酸と組み合わせられるHNOの濃度は、組成物の全重量に対して、(約)3重量%である。
有機酸の好ましい濃度の範囲は、(約)0.0001重量%から(約)0.5重量%までである。より好ましくは、有機酸の濃度は、組成物の全重量に対して、(約)0.05重量%である。
好ましい実施の形態に係る組成物中の有機酸は、少なくとも1つのカルボン酸基(carboxylic acid group)を含んでいる。
2つのカルボキシル基及び4炭素鎖長を有するリンゴ酸、3つのカルボキシル基及び5炭素鎖長を有するクエン酸、又は、1つのカルボキシル基及び1炭素鎖長を有する酢酸について行われた実験は、ガルバニ電流(図6及び図10)について何ら効果を示していない。
実際、図6及び図10に示すように、有機酸を含有している組成物を含んでいる好ましい実施の形態に係るテストされたすべての組成物について、ガルバニ電流は3μA未満である。
この低いガルバニ電流(典型的には、数μA)は、Hベースのスラリーで得られるガルバニ電流値(典型的には、300μAを超える)に比べて無視しうるものである。
これらの低い値は、有機酸を含有している組成物はW含有バリアの電解腐食を生じさせないということを示している。
いくつかの他の好ましい酸は、化学式がHOOC−(CR−COOHであるポリカルボン酸を含んでいる。ここで、R及びRは、水素、アルキル基、置換アルキル基、水酸基、エーテル、エステル等からなるグループから独立して選択される。なお、nは1から20までの整数である。かかる酸としては、例えば、HOOC−CH−CH(OH)−COOHがあげられる。
任意のモノカルボン酸、ジカルボン酸、トリカルボン酸及びポリカルボン酸を用いることができる。好ましい実施の形態に係る組成物は、単一のポリカルボン酸、又は、2つ、3つ又はこれより多い上記有機酸の混合物を含有していてもよい。
1つの好ましい実施の形態に係る組成物においては、有機酸は「糖酸(sugar acid)」、すなわち糖化合物から生成されるカルボン酸である。糖化合物から生成されるこのようなカルボン酸の具体例としては、アルド糖(又はアルドース)化合物から生成されるアルドン酸、アルドウロン酸及びアルダル酸があげられる。例えば、グルカル酸(アルダル酸の1つ)、グルコン酸(アルドン酸の1つ)及びグルクロン酸(アルドウロン酸の1つ)は、ブドウ糖から生成されるカルボン酸である。
糖から(とくに、単糖から)生成される酸は、高い腐食防止効果を有し、好ましい実施の形態に係る組成物のためのとくに好ましい化合物である。
実際、より低濃度のこれらの「糖酸」は、「糖酸」を生成する糖化合物自体と比べて、同一の腐食防止を達成するのに用いることができる。これらの酸は、非常に高い腐食防止効率を示す。
「糖酸」と組み合わせたときのHNOの好ましい濃度範囲は、組成物の全重量に対して、(約)1.5重量%から(約)5.0重量%までである。より好ましくは、「糖酸」と組み合わせたときのHNOの濃度は、組成物の全重量に対して、(約)3重量%である。
「糖酸」の好ましい濃度の範囲は、組成物の全重量に対して、(約)0.0001重量%から(約)0.5重量%までである。より好ましくは、「糖酸」の濃度は、組成物の全重量に対して、(約)0.05重量%である。
1つの好ましい実施の形態においては、糖は単糖である。
好ましい単糖としては、エリトロース及びトレオースなどの四炭糖や、リボース、アラビノーズ、キシロース及びリキソースなどの五炭糖や、アロース、アルトロース、グルコース、マンノース、グルオース、イドース、ガラクトース、タロースなどの六炭糖や、セドヘプツロースなどの七炭糖などがあげられる。
単糖は、環形又は鎖形のものであってもよく、またD異性体、L異性体又はこれらの混合物などの任意の異性体形であってもよい。
また、グルコサミンなどのアミノサッカリド及びアミノ糖も好ましい。糖からのその他の可能な誘導体は、ピラノシド、例えばメチルピラノシドである。
好ましい実施の形態に係るスラリー組成物は、単一の糖もしくは糖誘導体、又は、上記糖及び/又は糖誘導体のうちの任意の2つ、3つもしくはこれより多いものの混合物を含有していてもよい。
任意の糖及び/又は糖誘導体と組み合わせたときのHNOの好ましい濃度範囲は、組成物の全重量に対して、(約)0.1重量%から(約)3重量%までであり、より好ましくは、(約)0.5重量%から(約)1重量%までである。そして、さらに好ましくは、HNOの濃度は、(約)0.75重量である。
ガラクトース及び/又は果糖と組み合わせたときのHNOの好ましい濃度範囲は、組成物の全重量に対して、(約)0.1重量%から(約)3重量%までであり、より好ましくは、(約)0.5重量%から(約)1重量%までである。そして、さらに好ましくは、HNOの濃度は、(約)0.75重量である。
糖及び/又は糖誘導体については、好ましい濃度は、組成物の全重量に対して、溶液中の糖で(約)0.5重量%から(約)15重量%までの範囲である。
ガラクトース及び/又は果糖を含有している、好ましい実施の形態に係る組成物においては、組成物の全重量に対して、ガラクトースの濃度は(約)1重量%であり、かつ/又は、果糖の濃度は(約)10重量%である。
図6において、ガルバニ電流値は、果糖、ブドウ糖及びガラクトースを添加したHNOベースのスラリー用いて示されている。非常に低いガルバニ電流値は、W含有バリアの電解腐食を生じさせない。
溶液に添加される糖化合物の量は、達成すべき結果に応じて調整することができ、かつ受け入れ可能な除去速度の達成と受け入れ可能な腐食防止の達成との間の妥協の産物である。
好ましい実施の形態に係る組成物は、単一の糖及び/又は有機酸、又は、上記糖及び/又は有機酸のうちの2つ、3つもしくはこれより多くの混合物を含有していてもよい。
研磨プロセスを促進するために、有機化合物のほか、好ましい実施の形態に係る組成物にその他の化合物を添加することができる。このような有機化合物の一例はアルコール、例えばメタノールである。HNO及びガラクトースを含有している溶液に少量のメタノール(0.1重量%)を添加して実施された実験は、メタノールの存在により高い腐食防止効率を示した。
好ましい実施の形態に係る組成物は、銅及びWNC層の除去のための化学機械的研磨プロセス(CMP)で用いることができる。
好ましい実施の形態によれば、W含有バリア層と一体化された銅に化学機械的研磨を行うための方法は、HNOと、少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸とを含有しているスラリー組成物に上記層(Cu及びこの後のW含有層の両方)を接触させるステップを含んでいる。
好ましい実施の形態に係る方法においては、研磨されるべきW含有バリア層は、原子層堆積(Atomic Layer Deposition)により堆積された薄いWNCバリア層である。この薄いバリア層は、絶縁層内への銅の拡散を防止するために、銅の堆積の前に、トレンチなどの半導体構造内に堆積される。
WNC層の厚さは、好ましくは(約)1nmから(約)20nmまでの範囲であり、より好ましくは(約)5nmである。この層は、例えば、物理的気相成長(PVD)によって堆積され、その厚さが20から200nmである現在用いられているTa(N)バリアの厚さと比べて、非常に薄い。
研磨を実施するのに用いられる、好ましい実施の形態に係る組成物の研磨速度又は除去速度は、毎分(約)30nmの銅の除去に向けられたものである。
これは、どちらかといえば、Hを含有している商業的に入手可能なスラリーで得られるほぼ毎分350nmの研磨速度と比べて、低い研磨速度である。
W含有層の電解腐食を防止しつつ、半導体基板の商業的プロセスにおいて望まれるより高いスループット値を得るには、一連の(sequential)研磨方法が好ましい。
図12は、この研磨(CMP)法における異なる段階(step)を示している。図12(a)は、Cu及びWNCの表皮を研磨(CMP)する前におけるCuダマシン構造物(誘電体材料1内にバリア層3(例えば、WNC)を伴った銅構造物2を含んでいる)を示している。図12(b)は、Hを含有しているスラリーを用いる第1の研磨段階の後における結果的な構造物を示しており、Cuの表皮4の3/4を超える部分が除去されている。図12(c)は、残留しているCu4及びWNCバリア3の表皮を除去するための、好ましい実施の形態に係るHNOベースのスラリーを用いる第2の研磨段階の後における結果的な構造物を示している。
この2段階方式の研磨方法は、次の各ステップを含んでいてもよい(図12(a)ないし図12(c)に示すように)。すなわち、Hを含有しているスラリー組成物により銅の表皮4を実質的に除去するステップと、この後で、HNOに加えて少なくとも1つの糖化合物及び/又は少なくとも1つの有機酸を含有している、好ましい実施の形態に係るスラリー組成物により銅4及びWNCバリア層3の残留している表皮除去するステップとを含んでいてもよい。
この2段階方式の方法においては、第1段階で十分なCu除去速度が得られる。そして、好ましい実施の形態に係るスラリー組成物を用いる第2の研磨段階により、電解腐食に起因する構造物内におけるWの溶解が回避される。
好ましい実施の形態に係る方法で用いられる「実質的に(substantially)」との語句は、第1の研磨段階において、銅の表皮4の全量の50%を超える部分、好ましくは75%(又は3/4)を超える部分、より好ましくは80%、85%又は90%を超える部分(ただし、100%未満)が除去されるという意味である。さらに好ましくは、銅4の表皮の全量の約90%ないし約95%が除去されることである。
一例として、もし除去されるべき銅の表皮が1μmであれば、第1の段階で除去される銅の表皮の量は、好ましくは、750nmより多く((約)1nmまで)、より好ましくは約900nmである。
第2の研磨段階においては、残留している銅の表皮及び残留しているW含有バリアの表皮(例えば、一般的には5nmのオーダー内のWNC)が除去される。
好ましい実施の形態に係る方法においては、第1の研磨段階で、銅に対して高い効率及び除去速度を有するスラリーでもって過剰量の銅が研磨される。これらのスラリーは酸化性化合物としてHを含み、商業的に入手可能である。
この第1の研磨段階において除去されるべき層の厚さは、技術ノード(technology node)に応じて、好ましくは、(約)500nmから(約)1000nmまでの範囲である。
W含有バリアに到達する前に研磨を停止するといった格別な手当がなされる。好ましくは(約)100nm未満、より好ましくは(約)1nmから(約)75nmまでの範囲の厚さの銅の層は、第1の研磨段階の後にW含有バリア上に残留して、W含有バリア(例えば、WNC層)がHによって電解腐食されるのを防止する。
好ましい実施の形態に係る方法においては、好ましい実施の形態に係るスラリー組成物でもって実施される非常に緩慢な第2の研磨段階で、残留している銅の表皮及び残留しているWバリアの表皮(例えば、Cuの表皮の下のWNC層)が除去される。
第2の研磨段階は、バリアの電解腐食を防止し、半導体プロセスにおけるW含有バリアの一体化を可能にする。
電解腐食の防止のほか、好ましい実施の形態に係るスラリー組成物及び方法は、時間がかかりかつ汚染の問題を惹起するであろう、過酸化物(とくに、H)及びペルオキソ化合物(peroxo)などの化学的に不安定な酸化剤のスラリーへの同時添加を回避するといった利点を有する。実際、過酸化物(とくに、H)は、スラリー中における寿命が短いので、使用するまでに長時間かかるような添加を行うことはできない。
(実施例1:ガルバニ電流の実験)
2×2cmの露出面積を備えた、2×7cmのCu及びWNC(ブランケットSi−ウエハ上に堆積された)のサンプルが用いられた。下層をなす(underlying)150nmのCuシード層(seed layer)でもって、電気化学メッキ(ECP)によりCuが堆積された。500nmの厚さのSiOの上に、ALDにより60nmの厚さのWNCが堆積された。銅及びWNCのサンプルは、デジタルマルチメータ(digital multimeter)を介して互いに電気的に接触させられ、それぞれ、Hベースのスラリー、リンゴ酸を含有している9体積%のH溶液、クエン酸を含有している9体積%のH溶液、及び、硝酸ベースのスラリー(さらなる添加物はない)に浸漬された(dipped)。
を含有している溶液については、Hの分解を抑制するための実験の直前にHが添加された。
ガルバニ結合Cu/WCNに接続されたケイスリー(Keithley)195Aデジタルマルチメータを用いて、ガルバニ電流が同時に測定された。その結果は図1に集約されている。図1は、Cu−WNCガルバニ結合に対するガルバニ電流変化を、浸漬時間の関数として示している。
市販のスラリー(Hベースの溶液)によれば、より高いガルバニ電流値が得られた。そして、HNOベースの溶液(さらなる有機化合物の添加はない)でもって、最も低い電流値が得られた。
それぞれクエン酸の添加物とリンゴ酸の添加物とを含有しているHベースの溶液もまた、高いガルバニ電流値を示した。
電流における初期の不安定性は、表面の初期条件に起因するものであった。
HNOベースの溶液はWNCバリア層の電解腐食を防止したものと推察することができる。
(実施例2:化学浸漬及びガルバニ結合によるWNC減損)
ベースのスラリー、リンゴ酸を含有している9体積%のH溶液、及び、クエン酸を含有している9体積%のH溶液に対して、それぞれ、Cu/WNCガルバニ結合が(溶液中での浸漬により)接触させられた後(実施例1で説明したように)、WNC減損が測定された。
これと並行して、WNCバリア層のサンプルが、同一の3つの溶液(「化学薬品のみの浸漬」という)に浸漬され、WNC減損もまた、時間の関数として測定された。
図2にその結果が集約されている。図2は、テストされた各溶液に対して、化学浸漬のフレームワーク(framework)におけるWNCのエッチング速度と、Cuに対するガルバニ結合のフレームワークにおけるWNCのエッチング速度との間の比較を強調している(highlight)。
ガルバニ結合は、3つの溶液に対して、より高いエッチング速度値を生じさせるということが示されている。しかしながら、市販のスラリーは、クエン酸又はリンゴ酸を含有しているHベースの溶液と比較したときには、より高いエッチング速度を発揮した。
しかしながら、H溶液への有機酸の添加は、ガルバニ結合を回避することはできなかった。
比較においては、硝酸(HNO)及び酢酸を含有している、好ましい実施の形態に係るスラリー組成物中におけるCuに対するガルバニ結合及び化学薬品のみの浸漬の後の両方におけるWNCエッチング速度は、1nm/分より低かった。換言すれば、WNC減損は420秒後には7nm未満であった。
(実施例3:ガルバニエッチングの実験)
Cu及びWNCのサンプルが、それぞれ、正電極及び負電極として準備され用いられた。両電極は、デジタルマルチメータを介して接続され、ガルバニ結合の実験時に電圧変化が測定された。これらの実験時に、Cu及びWNCの「両電極」は、それぞれ、Hベースのスラリー、9体積%のリンゴ酸が含有されたH溶液、9体積%のクエン酸が含有されたH溶液、及び、HNOベースの溶液と接触させられた。
図3は、得られた結果を集約したものであり、各溶液について、基準Pt電極に対するCu及びWNCの電位差を示している。Pt−CuとPt−WNCとの電位差が大きいほど、WNC及びCuは、このような溶液中に一緒に投入されたときに、電解腐食を受けるであろう。
実際、エッチングの促進は、Cuに対するガルバニ結合に起因して、これらの溶液中(図2)におけるWNCとCuとの間の高い電位差から生じる。
これに関して、HNOベースの溶液は、最も低い電位差(図3)を示している。これは、約1nm/分である、HNOベースの溶液に対するWNCのエッチング速度値と一致する。
図1及び図3に示すように、クエン酸を含有している溶液は、市販のスラリーと比べたときには、より低い電流変化を生じさせる結果となった。これは、WNCが市販のスラリーによってより多く陽極酸化されたことを示し、Cuに対するガルバニ結合の後におけるWのより多い減損を生じさせる結果となった。
これと並行して、市販のスラリー(Hベースの溶液)と、リンゴ酸(例えば0.05重量%)が添加された9体積%のHベースの溶液と、HNOベース(3重量%)の溶液と、HNO(3重量%)及びクエン酸(0.05重量%)を含有している好ましい実施の形態に係るスラリー組成物と、HNO(3重量%)及びリンゴ酸(0.05重量%)を含有している好ましい実施の形態に係るスラリー組成物と、HNO(0.75重量%)及び果糖(10重量%)を含有している好ましい実施の形態に係るスラリー組成物とを用いて、同様の測定が行われた。
図7にその結果が集約されている。好ましい実施の形態に係るスラリー組成物中におけるW含有バリアとCuとの間には、テストされたその他のスラリー溶液(Hベース)について観察された電位差と比べて、非常に小さい電位差が存在した。
さらに、好ましい実施の形態に係るスラリー組成物について観察された電位差は、電解腐食が起こりそうもないものであった。
(実施例4:研磨実験)
WNCバリア層又はTa(N)バリア層のいずれかでもって異なるCuダマシン構造物が、異なる研磨スラリーを用いて研磨された。そして、使用された異なる研磨スラリーの効果を観察するために、TEM(透過型電子顕微鏡)画像又はSEM(走査型電子顕微鏡)画像が撮影された。
テストされた第1のCuダマシン構造物は、WNCバリア層を有し、Hベースの溶液(日立スラリーC430−A18)でもって研磨された。
図4(a)にその結果が示されている。WNCとCuとの界面では、トレンチの頂上角部(top corner)にWNCの減損が認められた。
Ta(N)バリア層を備えたもう1つのCuダマシン構造物が、Hベースの溶液(日立スラリーC430−A18)でもって研磨された。
図4(b)にその結果が示されている。研磨後においてTa(N)の減損は認められず、これはTa(N)含有バリアを備えたCu構造物中に電解腐食効果は存在しないということを意味する。
WNCバリア層を備えた異なるCuダマシン構造物が、HNO及びリンゴ酸を含有している好ましい実施の形態に係るスラリー組成物でもって、研磨機(メガポール(Megapol))により研磨された。スラリー組成物はまた、シリカ粒子を含有していた。
その結果は、断面SEM画像をあらわしている図8と、断面TEM画像をあらわしている図9とに示されている。研磨後においてWNCの減損は認められず、これはWNCを含有しているバリアを伴ったCu構造物においては、HNO及びリンゴ酸を含有している好ましい実施の形態に係るスラリー組成物によって生じる電解腐食効果は存在しないということを意味する。
(実施例5:ガルバニ電流の実験)
2×2cmの露出面積を伴った、実施例1に記載のCu及びWNCのサンプルが用いられた。Cu及びWCNのサンプルは、デジタルマルチメータを介して互いに電気的に接触させられ、それぞれ、Hベースの溶液、HNO(3重量%であり、Hを含有市内)を含有している好ましい実施の形態に係るスラリー組成物、HNO(3重量%)及びクエン酸(0.05重量%)を含有している好ましい実施の形態に係るスラリー組成物、HNO(3重量%)及びリンゴ酸(0.05重量%)を含有している好ましい実施の形態に係るスラリー組成物、HNO(0.75重量%)及び果糖(10重量%)を含有している好ましい実施の形態に係るスラリー組成物、HNO(0.75重量%)及びガラクトース(1重量%)を含有している好ましい実施の形態に係るスラリー組成物、並びに、HNO(0.75重量%)及びブドウ糖(0.05重量%)を含有している好ましい実施の形態に係るスラリー組成物に浸漬された。
図6及び図10にその結果が集約されている。図6及び図10は、Cu−WNCガルバニ結合に対するガルバニ電流の変化を、時間の関数として示している。
ベースのスラリー溶液により、より高いガルバニ電流値が得られた(図10)。
好ましい実施の形態に係る組成物により得られた電流値は、非常に小さい電流に対応している。これは、好ましい実施の形態に係る組成物中においてW含有バリアとCuとの間にはほとんど電位差は発生せず、電解腐食は起こりそうもないということを意味する。
これは、図7に示された結果によって確認される。Hベースの溶液に対する電位差は、HNOベースの溶液、とくにHNO及びリンゴ酸を含有しているスラリー組成物又HNO及びガラクトースを含有しているスラリー組成物について測定された電位差に比べて、高いように思われる。
本明細書で引用されたすべての参照物は、参照により全面的に本明細書に組み入れられている。参照により組み入れられた特許出願又は特許及び刊行物が、本明細書に含まれている開示と矛盾する限りにおいて、本明細書は、このような矛盾しているものにとって代わり、及び/又は、優先することが意図されている。
本明細書で用いられている「含んでいる(comprising)」との語句は、「包含している(including)」、「含有している(containing)」又は「特徴づけられる(characterized by)」と同義であって、包含的又は非制限的であり、付加的で列挙されていない要素又は方法ステップを排斥するものではない。
本明細書及び特許請求の範囲で用いられている反応条件、構成要素(ingredient)の量をあらわすすべての数字等は、「約(about)」との語によって、すべての場合に、修正されるということが理解されるべきである。したがって、反対の指示がない限り、本明細書及び特許請求の範囲に記載された数値パラメータは、本発明によって得ようとしている所望の特性に応じて変わる近似値である。最低限、請求項の範囲と等価な原理の応用を制限使用としているのではなく、各数値パラメータは、重要な数字の数及び普通の丸い手法(ordinary rounding approach)に鑑み解釈されるべきである。
上記の説明は、本発明に係るいくつかの方法及び材料を開示している。本発明は、方法及び材料において修正する余地があり、かつ、製造方法及び装置において変更する余地がある。このような修正は、当業者にとっては、本明細書に開示された特定の実施又は開示を考慮することにより明らかなものであろう。すなわち、本発明は本明細書に開示された特定の実施の形態に限定されるものでないことが意図されている。しかし、本発明は、特許請求の範囲に記載された発明の真の範囲及び精神の範囲内にあるすべての修正例及び代替例をカバーするものである。
Cu−WNCガルバニ結合に対するガルバニ電流変化を、浸漬時間の関数として示す図である。 Cuに対するガルバニ結合の場合と、化学薬品のみの浸漬の場合とについて、WNCのエッチング速度を比較して示す図である。 異なる溶液中における、基準Pt電極に対するCu及びWNCの電位差を示す図である。 (a)はWNCバリア層を備えたCuダマシン構造のTEM画像を示す図であり、(b)はTaNバリア層を備えたCuダマシン構造物のTEM画像を示す図である。 (a)はWNCバリア層を備えたCuダマシン構造物のTEM画像を示す図であり、(b)はTa(N)バリア層を備えたCuダマシン構造物のTEM画像を示す図である。 好ましい実施の形態に係るスラリー組成物を用いたCu−WNCのガルバニ結合によるガルバニ電流を、時間の関数として示す図である。 異なるスラリー組成物を用いる場合における、Pt電極に対する電位差を示す図である。 一体化されたWNCバリア層を伴ったCu構造物を研磨した後における断面SEM画像を示す図である。 リンゴ酸を含有しているHNOベースのスラリーを用いて研磨した後における断面TEM画像を示す図である。 を含有している商業的に入手可能なスラリー中、及び、銅の腐食を防止する有機化合物(有機酸又は単糖)が添加されたHNOベースの溶液中におけるCu/WNC構造の化学浸漬の後に得られた電流変化を、時間の関数として示す図である。 異なる糖化合物を含有している溶液に対する銅の静的エッチング速度値を示す図である。 (a)〜(c)は、好ましい実施の形態に係る2段階式の研磨方法(CMP)を示す図である。
符号の説明
1 誘電体材料、2 銅構造物、3 バリア層、4 Cu表皮。

Claims (18)

  1. タングステンを含有しているバリア層と一体化された銅を研磨するためのスラリー組成物であって、
    HNOを含み、かつ、糖化合物、有機酸及び両者の混合物からなるグループから選択された少なくとも1つの付加成分を含んでいる組成物。
  2. 上記糖化合物が単糖又は単糖混合物である、請求項1に記載の組成物。
  3. 上記単糖が、果糖、ガラクトース及びブドウ糖からなるグループから選択されたものである、請求項2に記載の組成物。
  4. 上記有機酸が、少なくとも1つのカルボン酸基を有する、請求項1に記載の組成物。
  5. 上記有機酸が、酢酸、リンゴ酸及びクエン酸からなるグループから選択されたものである、請求項4に記載の組成物。
  6. 上記有機酸が、酸化された糖化合物である、請求項1に記載の組成物。
  7. 上記の酸化された糖化合物が、アルダル酸、アルドウロン酸及びアルドン酸からなるグループから選択されたものである、請求項6に記載の組成物。
  8. 上記糖化合物の濃度が、該組成物の全重量に対して約0.5重量%から約15重量%までの範囲である、請求項1に記載の組成物。
  9. 上記ガラクトースの濃度が、該組成物の全重量に対して約1重量%である、請求項3に記載の組成物。
  10. 上記果糖の濃度が、該組成物の全重量に対して約10重量%である、請求項3に記載の組成物。
  11. 有機酸の濃度が、該組成物の全重量に対して約0.0001重量%から約0.5重量%までの範囲である、請求項1に記載の組成物。
  12. 酸化された糖化合物の濃度が、該組成物の全重量に対して約0.0001重量%から約0.5重量%までの範囲である、請求項6に記載の組成物。
  13. HNOの濃度が、該組成物の全重量に対して約1.5重量%から約5.0重量%までの範囲である、請求項1に記載の組成物。
  14. 該組成物の全重量に対して、HNOの濃度が約1.5重量%から約5.0重量%までの範囲であり、糖化合物の濃度が約0.5重量%から約15重量%までの範囲である、請求項1に記載の組成物。
  15. 該組成物の全重量に対して、HNOの濃度が約1.5重量%から約5.0重量%までの範囲であり、有機酸の濃度が約0.0001重量%から約0.5重量%までの範囲である、請求項1に記載の組成物。
  16. 一体化されたタングステンを含有しているバリア層を有する銅ダマシン構造物を研磨するための方法であって、
    HNOを含有し、かつ、糖化合物、有機酸及び両者の混合物からなるグループから選択された少なくとも1つの付加成分を含有しているスラリー組成物を、銅ダマシン構造物に供給し、これにより銅ダマシン構造物を研磨するステップを含んでいる方法。
  17. を含有しているスラリー組成物を用いて銅ダマシン構造から銅の過剰部分を実質的に除去するステップをさらに含んでいて、
    該ステップを、HNOと少なくとも1つの付加成分とを含有しているスラリー組成物を供給するステップの前に実施するようになっている、請求項16に記載の方法。
  18. タングステンを含有しているバリア層と一体化されている銅と、タングステンとのうちの少なくとも1つの電解腐食を低減又は防止するための方法であって、
    HNOを含有し、かつ、糖化合物、有機酸及び両者の混合物からなるグループから選択された少なくとも1つの付加成分を含有しているスラリー組成物を、銅に供給し、これによりタングステン及び銅のうちの少なくとも1つの電解腐食を防止又は低減するステップを含んでいる方法。
JP2005207394A 2004-07-15 2005-07-15 タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法 Expired - Fee Related JP4832819B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US58824704P 2004-07-15 2004-07-15
US60/588,247 2004-07-15

Publications (2)

Publication Number Publication Date
JP2006041514A true JP2006041514A (ja) 2006-02-09
JP4832819B2 JP4832819B2 (ja) 2011-12-07

Family

ID=34943273

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005207394A Expired - Fee Related JP4832819B2 (ja) 2004-07-15 2005-07-15 タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法

Country Status (3)

Country Link
US (2) US7589052B2 (ja)
EP (1) EP1616926A1 (ja)
JP (1) JP4832819B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013102051A (ja) * 2011-11-08 2013-05-23 Fujimi Inc 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
KR20210005261A (ko) * 2018-06-14 2021-01-13 쇼와덴코머티리얼즈가부시끼가이샤 연마액 및 연마 방법
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008151918A1 (en) * 2007-06-12 2008-12-18 Basf Se A process for polishing patterned and unstructured surfaces of materials and an aqueous polishing agent to be used in the said process
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US11043396B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polish slurry and method of manufacture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004055861A (ja) * 2002-07-22 2004-02-19 Asahi Glass Co Ltd 研磨剤および研磨方法
JP2005014204A (ja) * 2003-05-15 2005-01-20 Showa Denko Kk 研磨用組成物および研磨方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5599977A (en) * 1995-06-02 1997-02-04 Kiely; Donald E. Oxidation process
WO2000013217A1 (fr) * 1998-08-31 2000-03-09 Hitachi Chemical Company, Ltd. Liquide abrasif pour le polissage de metaux et procede correspondant
US6066028A (en) * 1998-12-14 2000-05-23 The United States Of America As Represented By The Secretary Of The Navy Polishing of copper
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
JP3945964B2 (ja) * 2000-06-01 2007-07-18 株式会社ルネサステクノロジ 研磨剤、研磨方法及び半導体装置の製造方法
US6486057B1 (en) * 2001-04-12 2002-11-26 National Science Council Process for preparing Cu damascene interconnection
CN1179646C (zh) * 2001-04-20 2004-12-15 朱红军 防集聚广谱抗菌纳米银微粉及产业化制作工艺
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
KR20060024775A (ko) * 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
WO2004101695A1 (en) * 2003-05-15 2004-11-25 Showa Denko K.K. Polishing composition and polishing method
US20050104048A1 (en) * 2003-11-13 2005-05-19 Thomas Terence M. Compositions and methods for polishing copper
JP4316406B2 (ja) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004055861A (ja) * 2002-07-22 2004-02-19 Asahi Glass Co Ltd 研磨剤および研磨方法
JP2005014204A (ja) * 2003-05-15 2005-01-20 Showa Denko Kk 研磨用組成物および研磨方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013102051A (ja) * 2011-11-08 2013-05-23 Fujimi Inc 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
KR20210005261A (ko) * 2018-06-14 2021-01-13 쇼와덴코머티리얼즈가부시끼가이샤 연마액 및 연마 방법
US11584868B2 (en) 2018-06-14 2023-02-21 Showa Denko Materials Co., Ltd. Polishing liquid and polishing method
KR102607889B1 (ko) * 2018-06-14 2023-11-28 가부시끼가이샤 레조낙 연마액 및 연마 방법
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション

Also Published As

Publication number Publication date
US20060014657A1 (en) 2006-01-19
EP1616926A1 (en) 2006-01-18
US8080505B2 (en) 2011-12-20
US7589052B2 (en) 2009-09-15
US20090250433A1 (en) 2009-10-08
JP4832819B2 (ja) 2011-12-07

Similar Documents

Publication Publication Date Title
JP4202424B2 (ja) 化学機械研磨組成物及び化学機械研磨方法
TWI297730B (en) Alkaline post-chemical mechanical planarization cleaning compositions
JP5472049B2 (ja) 化学機械研磨用研磨剤
TWI288175B (en) Post-CMP washing liquid composition
JP4832819B2 (ja) タングステン系のバリアメタルと一体化された銅を化学機械研磨するためのスラリー組成物及び方法
KR20000022908A (ko) 기판 세정방법 및 기판 세정액
TWI678601B (zh) 可抑制含鎢材料之損壞的半導體元件之清洗液及利用該清洗液的半導體元件之清洗方法
JP2009278018A (ja) 半導体基板洗浄液組成物
JP3192968B2 (ja) 銅系金属用研磨液および半導体装置の製造方法
KR20050085661A (ko) 구리 배선 및(또는) 막의 연마 및(또는) 세정 방법 및 이를위한 조성물
JPH1140526A (ja) 配線形成方法及び半導体装置の製造方法
JP2004276219A (ja) 電解加工液、電解加工装置及び配線加工方法
JP2010108985A (ja) 研磨方法
JP2001148385A (ja) 半導体ウェハおよび半導体装置の製造方法
JP2004273790A (ja) 半導体装置の製造方法
JP4684121B2 (ja) 化学機械研磨用研磨剤及び基板の研磨法
US20040140288A1 (en) Wet etch of titanium-tungsten film
JP2004080007A (ja) PtCMP用溶液およびPtパターンの形成方法
US11597896B2 (en) Cleaning liquid, cleaning method, and method for producing semiconductor wafer
KR101268346B1 (ko) 구리 화학적 기계적 연마 후 세정 공정용 세정액
JP2008277848A (ja) 化学機械研磨組成物及び化学機械研磨方法
CN117683544A (zh) 一种半导体氧化硅深孔的蚀刻清洗剂及蚀刻方法
JP2001023985A (ja) 配線構造及びその製造方法
Ernur et al. Inhibition of Galvanic Corrosion of WNC Barrier Metal for Feliable CU CMP
TW202132541A (zh) 蝕刻液、蝕刻液之製造方法、被處理物之處理方法,及含有釕的配線之製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080118

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110318

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110324

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110913

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110921

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees