KR20160119849A - 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스 - Google Patents

저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스 Download PDF

Info

Publication number
KR20160119849A
KR20160119849A KR1020167024972A KR20167024972A KR20160119849A KR 20160119849 A KR20160119849 A KR 20160119849A KR 1020167024972 A KR1020167024972 A KR 1020167024972A KR 20167024972 A KR20167024972 A KR 20167024972A KR 20160119849 A KR20160119849 A KR 20160119849A
Authority
KR
South Korea
Prior art keywords
processing chamber
cleaning
support assembly
bias power
substrate support
Prior art date
Application number
KR1020167024972A
Other languages
English (en)
Inventor
프라미트 만나
프라산트 쿠마르 쿨쉬레쉬타
광덕 더글라스 이
마틴 제이 시몬스
아브히지트 바수 말릭
복헌 김
무쿤드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160119849A publication Critical patent/KR20160119849A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세싱 챔버로부터 비정질 탄소 함유 잔여물들을 제거하기 위해 프로세싱 챔버를 세정하기 위한 방법들이 제공된다. 세정 프로세스는, 세정 프로세스 동안에, 저 주파수 RF 바이어스 전력을 활용한다. 일 실시예에서, 프로세싱 챔버를 세정하는 방법은, 프로세싱 챔버 내로 세정 가스 혼합물을 공급하는 단계, 프로세싱 챔버에서의 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 단계, 및 프로세싱 챔버로부터 증착 잔여물들을 제거하는 단계를 포함한다.

Description

저 RF 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스{CLEANING PROCESS FOR CLEANING AMORPHOUS CARBON DEPOSITION RESIDUALS USING LOW RF BIAS FREQUENCY APPLICATIONS}
[0001] 본 발명은, 집적 회로들의 제작, 및 하드마스크 층을 형성한 후에 프로세싱 챔버를 세정(cleaning)하기 위한 세정 프로세스에 관한 것이다. 더 구체적으로, 본 발명은, 반도체 애플리케이션들을 위한, 저 RF 주파수 바이어스 전력을 활용하여, 하드마스크 층을 형성한 후에 프로세싱 챔버를 세정하기 위한 세정 프로세스에 관한 것이다.
[0002] 집적 회로들은, 단일 칩 상에 수백만 개의 트랜지스터들, 캐패시터들, 및 레지스터들을 포함할 수 있는 복잡한 디바이스들로 진화하였다. 칩 디자인들의 진화는 더 빠른 회로 및 더 큰 회로 밀도를 계속 요구한다. 더 큰 회로 밀도들을 갖는 더 빠른 회로들에 대한 요구들은, 그러한 집적 회로들을 제작하기 위해 사용되는 재료들에 대해, 대응하는 요구들을 부과한다. 특히, 집적 회로 컴포넌트들의 치수들이 서브-미크론 스케일(sub-micron scale)로 감소됨에 따라, 이제, 그러한 컴포넌트들로부터 적합한 전기 성능을 획득하기 위해, 저 유전 상수의 절연 재료들(약 4 미만의 유전 상수), 뿐만 아니라, 저 저항률의 전도성 재료들(예컨대, 구리)을 사용할 필요가 있다.
[0003] 더 큰 집적 회로 밀도들에 대한 요구들이 또한, 집적 회로 컴포넌트들의 제조에서 사용되는 프로세스 시퀀스들에 대해 요구들을 부과한다. 예컨대, 통상적인 리소그래픽 기법들을 사용하는 프로세스 시퀀스들에서, 기판 상에 배치된 재료 층들의 스택(stack) 위에 에너지 민감성 레지스트의 층이 형성된다. 에너지 민감성 레지스트 층은, 포토레지스트 마스크를 형성하기 위해, 패턴의 이미지에 노출된다. 그 후에, 마스크 패턴은, 에칭 프로세스를 사용하여, 스택의 재료 층들 중 하나 또는 그 초과로 전사된다. 에칭 프로세스에서 사용되는 화학 에천트는, 에너지 민감성 레지스트의 마스크보다, 스택의 재료 층들에 대해 더 큰 에칭 선택성(selectivity)을 갖도록 선택된다. 즉, 화학 에천트는, 에너지 민감성 레지스트보다 훨씬 더 빠른 레이트로, 재료 스택의 하나 또는 그 초과의 층들을 에칭한다. 레지스트에 비한 스택의 하나 또는 그 초과의 재료 층들에 대한 에칭 선택성은, 패턴 전사의 완료 전에, 에너지 민감성 레지스트가 소모되는 것을 방지한다. 따라서, 고도로 선택적인 에천트는 정확한 패턴 전사를 강화한다.
[0004] 반도체 디바이스들을 형성하기 위해 사용되는 구조들의 기하형상 제한들이 기술 제한들에 대하여 압박됨에 따라, 작은 임계 치수들 및 고 종횡비들을 갖는 구조들의 제조를 위한 정확한 패턴 전사에 대한 요구가 점점 더 어렵게 되었다. 예컨대, 에너지 민감성 레지스트의 두께가, 패턴 레졸루션(resolution)을 제어하기 위해, 감소되었다. 그러한 얇은 레지스트 층들(예컨대, 약 2000 Å 미만)은, 화학 에천트에 의한 공격으로 인해, 패턴 전사 단계 동안에, 아래놓인 재료 층들을 마스킹하기에 불충분할 수 있다. 하드마스크 층이라고 호칭되는 중간 층(예컨대, 실리콘 산질화물, 실리콘 탄화물, 또는 탄소 막)이 종종, 그러한 중간 층의 화학 에천트들에 대한 더 큰 내성으로 인해, 패턴 전사를 용이하게 하기 위해, 에너지 민감성 레지스트 층과 아래놓인 재료 층들 사이에 사용된다. 약 50 nm 미만의 임계 치수 및/또는 약 5:1 초과의 종횡비들을 갖는 구조들을 형성하기 위해 재료들을 에칭하는 경우에, 재료들로 패턴들을 전사하기 위해 활용되는 하드마스크 층은, 상당한 시간 기간 동안, 공격적인 에천트들에 노출된다. 공격적인 에천트들에 대한 장기간의 노출 후에, 충분한 에칭 내성을 갖지 않은 하드마스크 층은 변화될 수 있어서, 부정확한 패턴 전사 및 치수 제어의 손실을 초래할 수 있다.
[0005] 따라서, 고 기계적 강도를 갖는 하드마스크 층에 대한 요구가 크게 증가되고 있다. 그러나, 프로세싱 챔버에서 그러한 하드마스크 층을 형성한 후에, 프로세싱 챔버에 남아있는 증착 잔여물들 또는 축적물(build-up)들은 종종, 제거하기 어렵다. 프로세싱 챔버의 표면들 및 챔버 컴포넌트들 상에 축적되는 증착 잔여물들 또는 축적물들은, 기판을 오염시킬 수 있는 원하지 않는 입자들의 원인이 될 수 있다. 프로세싱 챔버의 청정도(cleanliness)를 유지하기 위해, 세정 프로세스가, 프로세싱 챔버에서 각각의 또는 다수의 기판들이 프로세싱된 후에, 주기적으로 수행된다. 그러나, 고 기계적 강도의 하드마스크 층으로부터 기인한 증착 잔여물들 또는 축적물들은 종종, 제거하기 어렵기 때문에, 통상적인 세정 프로세스는 종종, 프로세싱 챔버를 세정하는 경우에, 충분한 세정 효과를 갖지 않고, 그에 의해, 불리하게, 고 품질 막들을 증착하기 위해 요구되는 것보다 불충분한 청정도를 갖는 프로세싱 챔버가 초래된다.
[0006] 따라서, 프로세싱 챔버 청정도를 개선하기 위해, 증착 프로세스 후에, 챔버 컴포넌트들 상에 축적된 증착 잔여물들 또는 축적물들을 제거하기 위한 개선된 방법에 대한 필요성이 존재한다.
[0007] 프로세싱 챔버로부터 비정질 탄소 함유 잔여물들을 제거하기 위해 프로세싱 챔버를 세정하기 위한 방법들이 제공된다. 세정 프로세스는, 세정 프로세스 동안에, 저 주파수 RF 바이어스 전력을 활용한다. 일 실시예에서, 프로세싱 챔버를 세정하는 방법은, 프로세싱 챔버 내로 세정 가스 혼합물을 공급하는 단계, 프로세싱 챔버에서의 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 단계, 및 프로세싱 챔버로부터 증착 잔여물들을 제거하는 단계를 포함한다.
[0008] 다른 실시예에서, 비정질 탄소 층 배치 프로세스 후에 프로세싱 챔버를 세정하기 위한 방법은, 프로세싱 챔버에 배치된 기판에 대해 비정질 탄소 층 증착 프로세스를 수행하는 단계, 및 비정질 탄소 층이 위에 증착된 기판을 제거한 후에, 프로세싱 챔버에서 세정 프로세스를 수행하는 단계를 포함하며, 세정 프로세스는, 프로세싱 챔버 내로 세정 가스 혼합물을 공급하는 것, 프로세싱 챔버에서의 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 것, 및 프로세싱 챔버로부터 증착 잔여물들을 제거하는 것을 더 포함한다.
[0009] 또 다른 실시예에서, 비정질 탄소 층 배치 프로세스 후에 프로세싱 챔버를 세정하기 위한 방법은, 프로세싱 챔버에서 수행되는 증착 프로세스 후에 세정 프로세스를 수행하는 단계를 포함하며, 세정 프로세스는, 프로세싱 챔버 내로, 적어도 산소 함유 가스를 포함하는 세정 가스 혼합물을 공급하는 것, 프로세싱 챔버에서의 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 것, 및 프로세싱 챔버로부터 증착 잔여물들을 제거하는 것을 더 포함한다.
[0010] 본 발명의 상기 열거된 특징들이 획득되고 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 본 발명의 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들은 첨부된 도면들에 예시되어 있다.
[0011] 도 1은, 본 발명의 일 실시예를 실시하는데 적합한 장치의 개략도를 도시한다.
[0012] 도 2는, 본 발명의 일 실시예를 실시하는데 적합한 장치의 개략도의 다른 실시예를 도시한다.
[0013] 도 3은, 본 발명의 일 실시예에 따른, 증착 잔여물들 및 축적물들을 제거하기 위한 세정 프로세스의 흐름도를 도시한다.
[0014] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이, 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0015] 그러나, 첨부된 도면들은 단지 본 발명의 예시적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0016] 본 발명은, 비정질 탄소 함유 잔여물들 및/또는 축적물들을 제거하기 위해, 프로세싱 챔버를 세정하기 위한 방법을 제공한다. 일 실시예에서, 프로세싱 챔버는, 하드마스크 층으로서 사용하는데 적합한 비정질 탄소 층을 형성하기 위해 활용될 수 있다. 증착 프로세스 전에 또는 후에, 고 품질 증착 프로세스를 가능하게 하기 위해 요구되는 원하는 청정도로 증착 환경을 제공하기 위하여, 프로세싱 챔버로부터 비정질 탄소 함유 잔여물들 및/또는 축적물들을 제거하기 위해, 세정 프로세스가 수행될 수 있다. 일 실시예에서, 세정 프로세스는, 프로세싱 챔버의 바닥 부분의 세정을 강화하기 위해, 세정 프로세스 동안에 인가되는 저 주파수 RF 바이어스 전력을 활용함으로써 수행될 수 있다.
[0017] 도 1은, 비정질 탄소 층 증착 프로세스 후에 또는 전에 프로세싱 챔버를 세정하기 위해, 세정 프로세스를 수행하는데 적합한 프로세싱 챔버(100)의 일 실시예의 단면도이다. 본원에서 개시되는 교시들과 함께 사용하도록 적응될 수 있는 적합한 프로세싱 챔버들은, 예컨대, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 변형된 ENABLER® 프로세싱 챔버를 포함한다. 프로세싱 챔버(100)가, 저 주파수 RF 바이어스 전력을 사용한 비정질 탄소 함유 잔여물들 및/또는 축적물 세정 프로세스를 가능하게 하는 복수의 피처들을 포함하는 것으로 도시되어 있지만, 다른 프로세싱 챔버들이, 본원에서 개시되는 본 발명의 피처들 중 하나 또는 그 초과로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
[0018] 프로세싱 챔버(100)는 챔버 바디(102) 및 덮개(104)를 포함하고, 그러한 챔버 바디(102) 및 덮개(104)는 내부 볼륨(106)을 에워싼다. 챔버 바디(102)는 전형적으로, 알루미늄, 스테인리스 스틸, 또는 다른 적합한 재료로 제작된다. 챔버 바디(102)는 일반적으로, 측벽들(108) 및 바닥(110)을 포함한다. 기판 액세스 포트(미도시)가 일반적으로, 측벽(108)에 정의되고, 슬릿 밸브에 의해 선택적으로 밀봉되어, 프로세싱 챔버(100)로부터의 기판(101)의 이탈 및 진입을 용이하게 한다. 배기 포트(126)가 챔버 바디(102)에 정의되고, 펌프 시스템(128)에 내부 볼륨(106)을 커플링시킨다. 펌프 시스템(128)은 일반적으로, 프로세싱 챔버(100)의 내부 볼륨(106)의 압력을 조절하고 진공배기(evacuate)시키기 위해 활용되는 하나 또는 그 초과의 펌프들 및 스로틀 밸브들을 포함한다. 일 실시예에서, 펌프 시스템(128)은, 전형적으로 약 10 mTorr 내지 약 20 Torr인 동작 압력들로, 내부 볼륨(106) 내부의 압력을 유지한다.
[0019] 덮개(104)는 챔버 바디(102)의 측벽(108) 상에 밀봉 지지된다. 덮개(104)는, 프로세싱 챔버(100)의 내부 볼륨(106)으로의 접근을 허용하기 위해, 개방될 수 있다. 덮개(104)는, 광학 프로세스 모니터링을 용이하게 하는 윈도우(window)(142)를 포함한다. 일 실시예에서, 윈도우(142)는, 석영, 또는 광학 모니터링 시스템(140)에 의해 활용되는 신호에 대해 투과적인(transmissive) 다른 적합한 재료로 구성된다.
[0020] 광학 모니터링 시스템(140)은, 윈도우(142)를 통해, 기판 지지 어셈블리(148) 상에 위치된 기판(101) 및/또는 챔버 바디(102)의 내부 볼륨(106) 중 적어도 하나를 뷰잉(view)하도록, 위치된다. 일 실시예에서, 광학 모니터링 시스템(140)은 덮개(104)에 커플링되고, 필요에 따라, (플라즈마 모니터링, 온도 모니터링 등과 같은) 프로세스 상태 모니터링을 제공하고, (두께 등과 같은) 인입(incoming) 기판 패턴 피처 불일치들을 보상하기 위한 프로세스 조정을 가능하게 하는 정보를 제공하기 위해 광학 계측을 사용하는 통합된 증착 프로세스를 용이하게 한다. 본 발명으로부터 이익을 얻도록 적응될 수 있는 하나의 광학 모니터링 시스템은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 EyeD® 풀-스펙트럼(full-spectrum), 간섭측정(interferometric) 계측 모듈이다.
[0021] 가스 패널(158)이, 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 프로세싱 챔버(100)에 커플링된다. 도 1에서 도시된 실시예에서, 유입구 포트들(132', 132'')이, 가스 패널(158)로부터 프로세싱 챔버(100)의 내부 볼륨(106)으로 가스들이 전달되게 허용하기 위해, 덮개(104)에 제공된다.
[0022] 샤워헤드 어셈블리(130)가 덮개(104)의 내부 표면(114)에 커플링된다. 샤워헤드 어셈블리(130)는, 챔버(100)에서 프로세싱되고 있는 기판(101)의 표면에 걸쳐, 미리 정의된 분포로, 유입구 포트(132)로부터 샤워헤드 어셈블리(130)를 통해 프로세싱 챔버(100)의 내부 볼륨(106) 내로 유동하는 가스들을 허용하는 복수의 구멍들을 포함한다.
[0023] 원격 플라즈마 소스(177)가, 프로세싱을 위해 내부 볼륨(106) 내로 진입하기 전에, 원격 플라즈마로부터의 가스 혼합물을 해리시키는 것을 용이하게 하기 위해, 가스 패널(158)에 커플링될 수 있다. RF 전력 소스(143)가 매칭 회로(141)를 통해 샤워헤드 어셈블리(130)에 커플링된다. RF 전력 소스(143)는 전형적으로, 약 50 kHz 내지 약 13.56 MHz의 범위에서의 튜닝가능한 주파수로 최대 약 3000 W의 전력을 생성할 수 있다.
[0024] 샤워헤드 어셈블리(130)는 부가적으로, 광학 계측 신호에 대해 투과적인 구역을 포함한다. 광학적으로 투과적인 구역 또는 통로(138)는, 광학 모니터링 시스템(140)이, 기판 지지 어셈블리(148) 상에 위치된 기판(101) 및/또는 내부 볼륨(106)을 뷰잉하게 허용하는데 적합하다. 통로(138)는, 광학 모니터링 시스템(140)에 의해 생성되고 광학 측정 시스템(140)으로 되돌려 반사되는 에너지의 파장들에 대해 실질적으로 투과적인, 샤워헤드 어셈블리(130)에 형성되거나 또는 배치된, 재료, 구멍, 또는 복수의 구멍들일 수 있다. 일 실시예에서, 통로(138)는, 통로(138)의 가스 누설을 방지하기 위해, 윈도우(142)를 포함한다. 윈도우(142)는, 사파이어 플레이트, 석영 플레이트, 또는 다른 적합한 재료일 수 있다. 윈도우(142)는 대안적으로, 덮개(104)에 배치될 수 있다.
[0025] 일 실시예에서, 샤워헤드 어셈블리(130)는, 프로세싱 챔버(100)의 내부 볼륨(106) 내로 유동하는 가스의 개별적인 제어를 허용하는 복수의 구역들을 갖도록 구성된다. 도 1의 실시예에서, 샤워헤드 어셈블리(130)는, 개별적인 유입구 포트들(132)을 통해 가스 패널(158)에 개별적으로 커플링된, 내측 구역(134) 및 외측 구역(136)을 갖는다.
[0026] 기판 지지 어셈블리(148)는, 프로세싱 챔버(100)의 내부 볼륨(106)에서 샤워헤드 어셈블리(130) 아래에 배치된다. 기판 지지 어셈블리(148)는 프로세싱 동안에 기판(101)을 홀딩(hold)한다. 기판 지지 어셈블리(148)는 일반적으로, 기판 지지 어셈블리(148)로부터 기판(101)을 리프팅하고, 통상적인 방식으로 로봇(미도시)을 이용하는, 기판(101)의 교환을 용이하게 하도록 구성된, 기판 지지 어셈블리(148)를 통해 배치된 복수의 리프트 핀들(미도시)을 포함한다. 내측 라이너(118)는 기판 지지 어셈블리(148)의 주변부를 밀접하게 한정(circumscribe)할 수 있다.
[0027] 일 실시예에서, 기판 지지 어셈블리(148)는, 탑재 플레이트(162), 베이스(164), 및 정전 척(166)을 포함한다. 탑재 플레이트(162)는 챔버 바디(102)의 바닥(110)에 커플링되고, 베이스(164) 및 정전 척(166)으로, 특히 유체들, 전력 라인들, 및 센서 리드들과 같은 유틸리티들(utilities)을 라우팅(routing)하기 위한 통로들을 포함한다. 정전 척(166)은, 샤워헤드 어셈블리(130) 아래에 기판(101)을 보유하기 위한 적어도 하나의 클램핑 전극(180)을 포함한다. 정전 척(166)의 클램핑 전극(180)은, 통상적으로 알려져 있는 바와 같이, 척 표면에 기판(101)을 홀딩하는 정전력을 발생시키기 위해, 척킹 전력 소스(182)에 의해 구동된다. 대안적으로, 기판(101)은, 클램핑, 진공, 또는 중력에 의해, 기판 지지 어셈블리(148)에 대해 보유될 수 있다.
[0028] 베이스(164) 또는 정전 척(166) 중 적어도 하나는, 기판 지지 어셈블리(148)의 측방향 온도 프로파일을 제어하기 위해, 적어도 하나의 선택적인 임베딩된(embedded) 가열기(176), 적어도 하나의 선택적인 임베딩된 아이솔레이터(174), 및 복수의 도관들(168, 170)을 포함할 수 있다. 도관들(168, 170)은, 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 소스(172)에 유체적으로 커플링된다. 가열기(176)는 전력 소스(178)에 의해 조절된다. 도관들(168, 170) 및 가열기(176)는, 베이스(164)의 온도를 제어함으로써 정전 척(166)을 가열하고 그리고/또는 냉각시키기 위해 활용된다. 정전 척(166) 및 베이스(164)의 온도는, 복수의 온도 센서들(190, 192)을 사용하여, 모니터링될 수 있다. 정전 척(166)은, He와 같은 열 전달(또는 배면) 가스의 소스에 유체적으로 커플링되고 척(166)의 기판 지지 표면에 형성된, 그루브(groove)들과 같은 복수의 가스 통로들(미도시)을 더 포함할 수 있다. 동작 시에, 배면 가스는, 기판(101)과 정전 척(166) 사이의 열 전달을 강화하기 위해, 제어되는 압력으로 가스 통로들 내로 제공된다.
[0029] 일 실시예에서, 기판 지지 어셈블리(148)는 캐소드(cathode)로서 구성되고, 복수의 RF 전력 바이어스 소스들(184, 186)에 커플링된 전극(180)을 포함한다. RF 바이어스 전력 소스들(184, 186)은, 기판 지지 어셈블리(148)에 배치된 전극들(180)과 챔버 바디(102)의 천장(104) 또는 샤워헤드 어셈블리(130)와 같은 다른 전극 사이에 커플링된다. RF 바이어스 전력은, 챔버 바디(102)의 프로세싱 구역에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기시키고, 지속시킨다.
[0030] 도 1에서 도시된 실시예에서, 듀얼 RF 바이어스 전력 소스들(184, 186)은, 매칭 회로(188)를 통해, 기판 지지 어셈블리(148)에 배치된 전극(180)에 커플링된다. RF 바이어스 전력 소스들(184, 186)에 의해 생성되는 신호는, 플라즈마 프로세싱 챔버(100)에 제공되는 가스 혼합물을 이온화시킴으로써, 증착 또는 다른 플라즈마 강화 프로세스를 수행하는데 필요한 이온 에너지를 제공하기 위해, 매칭 회로(188)를 통하여, 단일 피드(feed)를 통해 기판 지지 어셈블리(148)로 전달된다. RF 바이어스 전력 소스들(184, 186)은 일반적으로, 약 0 와트 내지 약 5000 와트의 전력, 및 약 50 kHz 내지 약 200 MHz의 주파수를 갖는 RF 신호를 생성할 수 있다. 부가적인 바이어스 전력 소스(189)가, 플라즈마의 특성들을 제어하기 위해, 전극(180)에 커플링될 수 있다.
[0031] 동작의 하나의 모드에서, 플라즈마 프로세싱 챔버(100)에서 기판 지지 어셈블리(148) 상에 기판(101)이 배치된다. 프로세스 가스 및/또는 가스 혼합물이 가스 패널(158)로부터 샤워헤드 어셈블리(130)를 통해 챔버 바디(102) 내로 도입된다. 게다가, 부가적인 가스들이 원격 플라즈마 소스(177)로부터 샤워헤드 어셈블리(130)를 통해 프로세싱 챔버(100)로 공급될 수 있다. 진공 펌프 시스템(128)이, 증착 부산물들을 제거하면서, 챔버 바디(102) 내부의 압력을 유지한다. 진공 펌프 시스템(128)은 전형적으로, 약 10 mTorr 내지 약 20 Torr의 동작 압력을 유지한다.
[0032] RF 전력 소스(143) 및 RF 바이어스 전력 소스들(184, 186)은, 각각, 매칭 회로들(141 및 188)을 통해 애노드 및/또는 캐소드에 개별적인 주파수들로 RF 소스 및 바이어스 전력을 제공하고, 그에 의해, 본 예에서는 도 3을 참조하여 아래에서 추가로 설명되는 바와 같은 세정 프로세스인 플라즈마 프로세스를 수행하기 위해, 플라즈마를 형성하고 챔버 바디(102)에서의 가스 혼합물을 이온들로 여기시키기 위한 에너지를 제공한다.
[0033] 도 2는, 본 발명의 실시예들에 따른, 비정질 탄소 층 증착 프로세스 전에 또는 후에, 비정질 탄소 잔여물들 및/또는 축적물들을 세정하기 위한 프로세싱 챔버 세정 프로세스를 수행하기 위해 사용될 수 있는 다른 기판 프로세싱 프로세스 챔버(232)의 개략적인 표현이다. 본 발명을 실시하기 위해 사용될 수 있는 시스템들의 다른 예들은, CENTURA®, PRECISION 5000®, 및 PRODUCER® 증착 시스템들을 포함하고, 이들 모두는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 다른 제조자들로부터 입수가능한 것들을 포함하는 다른 프로세싱 시스템이 본 발명을 실시하도록 적응될 수 있다는 것이 고려된다.
[0034] 프로세싱 프로세스 챔버(232)는 제어기(210) 및 가스 패널(230)에 커플링된 프로세스 챔버(200)를 포함한다. 프로세스 챔버(200)는 일반적으로, 상단(224), 측면(201), 및 바닥 벽(222)을 포함하고, 이들은 내부 볼륨(226)을 정의한다. 기판 지지 어셈블리(250)가 챔버(200)의 내부 볼륨(226)에 제공된다. 기판 지지 어셈블리(250)는, 알루미늄, 세라믹, 및 다른 적합한 재료들로 제작될 수 있다. 일 실시예에서, 기판 지지 어셈블리(250)는, 기판 지지 어셈블리(250)를 열적으로 손상시키지 않으면서, 플라즈마 프로세스 환경과 같은 고온 환경에서 사용하는데 적합한 재료인, 알루미늄 질화물과 같은 세라믹 재료에 의해 제작된다. 기판 지지 어셈블리(250)는, 리프트 메커니즘(미도시)을 사용하여, 챔버(200) 내부에서 수직 방향으로 이동될 수 있다.
[0035] 기판 지지 어셈블리(250)는, 기판 지지 어셈블리(250) 상에 지지되는 기판(101)의 온도를 제어하는데 적합한 임베딩된 가열기 엘리먼트(270)를 포함할 수 있다. 일 실시예에서, 기판 지지 어셈블리(250)는, 전력 공급부(206)로부터 가열기 엘리먼트(270)로 전류를 인가함으로써 저항성으로 가열될 수 있다. 일 실시예에서, 가열기 엘리먼트(270)는, 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스(sheath) 튜브에 인캡슐레이팅된(encapsulated) 니켈-크롬 와이어로 제조될 수 있다. 전력 공급부(206)로부터 공급되는 전류는, 가열기 엘리먼트(270)에 의해 생성되는 열을 제어함으로써, 막 증착 동안에, 실질적으로 일정한 온도로 기판 지지 어셈블리(250) 및 기판(101)을 유지하기 위해, 제어기(210)에 의해 조절된다. 공급되는 전류는, 섭씨 약 100 도 내지 섭씨 약 780 도, 예컨대 섭씨 500 도 초과로, 기판 지지 어셈블리(250)의 온도를 선택적으로 제어하기 위해 조정될 수 있다.
[0036] 열전대(thermocouple)와 같은 온도 센서(272)가, 통상적인 방식으로 기판 지지 어셈블리(250)의 온도를 모니터링하기 위해, 기판 지지 어셈블리(250)에 임베딩될 수 있다. 측정된 온도는, 원하는 온도로 기판(101)을 유지하도록, 가열기 엘리먼트(270)에 공급되는 전력을 제어하기 위해, 제어기(210)에 의해 사용된다.
[0037] 기판 지지 어셈블리(250)는, 샤워헤드 어셈블리(130) 아래에 기판(101)을 보유하기 위한 적어도 하나의 클램핑 전극(239)을 포함한다. 클램핑 전극(239)은, 통상적으로 알려져 있는 바와 같이, 기판 표면에 대해 기판(101)을 홀딩하는 정전력을 발생시키기 위해, 척킹 전력 소스(204)에 의해 구동된다. 대안적으로, 기판(101)은, 클램핑, 진공, 또는 중력에 의해, 기판 지지 어셈블리(250)에 대해 보유될 수 있다.
[0038] 일 실시예에서, 기판 지지 어셈블리(250)는 캐소드로서 구성되고, 복수의 RF 전력 바이어스 전력(235, 237)에 커플링된다. RF 바이어스 전력들(235, 237)은, 기판 지지 어셈블리(250)에 배치된 전극들(239)과 샤워헤드 어셈블리(220)와 같은 다른 전극 사이에 커플링된다. RF 바이어스 전력은 프로세싱 챔버(100)에 배치된 가스들로부터 형성된 플라즈마 방전을 여기시키고 지속시킨다. 도 2에서 도시된 실시예에서, 듀얼 RF 바이어스 전력 소스들(235, 237)은 매칭 회로(231)를 통해 전극(239)에 커플링된다. RF 바이어스 전력 소스들(235, 237)에 의해 생성되는 신호는, 플라즈마 프로세스 챔버(200)에 제공되는 가스 혼합물을 이온화시킴으로써 증착 또는 다른 플라즈마 강화 프로세스를 수행하는데 필요한 이온 에너지를 제공하기 위해, 매칭 회로(231)를 통하여, 단일 피드(feed)를 통해, 기판 지지 어셈블리(250)에 배치된 전극(239)으로 전달된다. RF 바이어스 전력 소스들(235, 237)은 일반적으로, 약 0 와트 내지 약 5000 와트의 전력, 및 약 50 kHz 내지 약 200 MHz의 주파수를 갖는 RF 신호를 생성할 수 있다. 다른 선택적인 RF 바이어스 또는 소스 전력이 플라즈마의 특성들을 제어하기 위해 사용될 수 있다는 것이 유의된다.
[0039] 진공 펌프(202)가 챔버(200)의 벽들에 형성된 포트에 커플링된다. 진공 펌프(202)는 프로세스 챔버(200)에서 원하는 가스 압력을 유지하기 위해 사용된다. 진공 펌프(202)는 또한, 챔버(200)로부터 프로세스의 부산물들 및 프로세싱-후 가스들을 진공배기시킨다.
[0040] 복수의 구멍들(228)을 갖는 샤워헤드 어셈블리(220)가 기판 지지 어셈블리(250) 위에서 프로세스 챔버(200)의 상단(224)에 커플링된다. 샤워헤드 어셈블리(220)의 구멍들(228)은 챔버(200) 내로 프로세스 가스들을 도입하기 위해 활용된다. 구멍들(228)은, 상이한 프로세스 요건들을 위한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해, 상이한 사이즈들, 수, 분포들, 형상, 디자인, 및 직경들을 가질 수 있다. 샤워헤드 어셈블리(220)는, 다양한 가스들이 프로세스 동안에 내부 볼륨(226)으로 공급되게 허용하는 가스 패널(230)에 연결된다. 원격 플라즈마 소스(271)가, 프로세싱을 위해 내부 볼륨(226) 내로 진입하기 전에, 원격 플라즈마로부터의 가스 혼합물을 해리시키는 것을 용이하게 하기 위해, 가스 패널(230)에 커플링될 수 있다. 기판(101)의 표면(103) 상의 재료의 증착을 야기하는, 프로세스 가스들의 열 분해를 강화하기 위해, 샤워헤드 어셈블리(220)에서 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0041] 샤워헤드 어셈블리(220) 및 기판 지지 어셈블리(250)는, 내부 볼륨(226)에서의 이격된 전극들의 쌍으로서 형성될 수 있다. 하나 또는 그 초과의 RF 전력 소스들(240, 235, 237)은, 기판 지지 어셈블리(250)와 샤워헤드 어셈블리(220) 사이의 플라즈마의 생성을 용이하게 하기 위해, 각각, 매칭 회로들(238, 231)을 통해, 샤워헤드 어셈블리(220)로, 또는 기판 지지 어셈블리(250)로 소스 또는 바이어스 전위를 제공한다. 대안적으로, RF 전력 소스들(240), 바이어스 전력 소스들(235, 237), 및 매칭 회로(238)는, 샤워헤드 어셈블리(220)에 커플링될 수 있거나, 기판 지지 어셈블리(250)에 커플링될 수 있거나, 또는 샤워헤드 어셈블리(220) 및 기판 지지 어셈블리(250) 양자 모두에 커플링될 수 있거나, 또는 대안적인 배열로 챔버(200) 외부에 배치된 안테나(미도시)에 커플링될 수 있다. 일 실시예에서, RF 전력 소스(240)는, 약 50 kHz 내지 약 13.56 MHz의 주파수에서의 약 500 와트 내지 약 3000 와트로 전력을 제공할 수 있다.
[0042] 제어기(210)는, 프로세스 시퀀스를 제어하고 가스 패널(230)로부터의 가스 유동들을 조절하기 위해 활용되는, 중앙 프로세싱 유닛(CPU)(212), 메모리(216), 및 지원 회로(214)를 포함한다. CPU(212)는, 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 스토리지(storage)와 같은 메모리(216)에 저장될 수 있다. 지원 회로(214)는 통상적으로, CPU(212)에 커플링되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(210)와 프로세싱 프로세스 챔버(232)의 다양한 컴포넌트들 사이의 양방향성 통신들은, 신호 버스들(218)이라고 일괄적으로 지칭되는 다수의 신호 케이블들을 통해, 핸들링되고(handled), 그러한 신호 버스들(218) 중 몇몇이 도 2에서 예시된다.
[0043] 위의 챔버들은 주로 예시적인 목적들을 위해 위에서 설명되고, 다른 플라즈마 프로세싱 챔버들이 또한, 본 발명의 실시예들을 실시하기 위해 채용될 수 있다.
[0044] 도 3은, 비정질 탄소 층 증착 프로세스 전에 또는 후에, 도 1에서 도시된 프로세싱 챔버(100) 또는 도 2에서 도시된 프로세싱 챔버(232)와 같은 프로세싱 챔버를 세정하기 위한 방법(300)의 프로세스 흐름도를 예시한다.
[0045] 방법(300)은, 도 1에서 도시된 프로세싱 챔버(100), 또는 대안적으로 도 2에서 도시된 프로세싱 챔버(232)와 같은 적합한 프로세싱 챔버 내로, 도 1 및 도 2에서 도시된 기판(101)과 같은 기판을 이송하는 것에 의해, 선택적인 단계(301)에서 시작된다. 선택적인 단계(301)가 수행되지 않는 실시예에서, 방법(300)은, 프로세싱 챔버에서 세정 프로세스를 수행하기 위해, 단계(302)에서 시작하는 것에 의해 수행될 수 있다. 선택적인 단계(301)에서, 기판(101)은, 실질적으로 평탄한 표면, 평탄하지 않은 표면, 또는 구조가 위에 형성된 실질적으로 평탄한 표면을 가질 수 있다. 일 실시예에서, 기판(101)은, 전단부(front end) 또는 후단부(back end) 프로세스들에서, 게이트 구조, 콘택 구조, 상호연결 구조, 또는 STI(shallow trench isolation) 구조를 형성하기 위해 활용되는 막 스택의 일부인 재료 층들을 가질 수 있다. 재료 층이 존재하지 않는 실시예들에서, 선택적인 단계(301)는 기판(101)에 직접적으로 형성될 수 있다.
[0046] 일 실시예에서, 재료 층은 게이트 전극을 형성하기 위해 활용되는 실리콘 층일 수 있다. 다른 실시예에서, 재료 층은, 실리콘 산화물 층, 실리콘 층 위에 증착된 실리콘 산화물 층을 포함할 수 있다. 또 다른 실시예에서, 재료 층은 반도체 디바이스들을 제작하기 위해 활용되는 다른 유전체 재료들 중 하나 또는 그 초과의 층들을 포함할 수 있다. 유전체 층들의 적합한 예들은, 필요에 따라, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 또는 임의의 적합한 저-k 또는 다공성 유전체 재료를 포함한다. 또 다른 실시예에서, 재료 층은 어떠한 금속 층들도 포함하지 않는다.
[0047] 그 후에, 기판(101) 상에 비정질 탄소 층을 형성하기 위해, 선택적인 단계(301)에서, 비정질 탄소 증착 프로세스가 증착된다. 비정질 탄소 증착 프로세스는, 증착 프로세스를 위해, 프로세싱 챔버(100, 232) 내로 증착 가스 혼합물을 공급함으로써 수행될 수 있다. 증착 가스 혼합물은 적어도 탄화수소 가스 및 비활성 가스를 포함한다. 일 실시예에서, 탄화수소 가스는 화학식 CxHy를 갖고, 여기에서, x는 1 내지 12의 범위를 갖고, y는 4 내지 26의 범위를 갖는다. 더 구체적으로, 지방족 탄화수소들은, 예를 들어, 알칸들, 예컨대 메탄, 에탄, 프로판, 부탄, 펜탄, 헥산, 헵탄, 옥탄, 노난, 데칸 등; 알켄들, 예컨대 프로펜, 에틸렌, 프로필렌, 부틸렌, 펜텐 등; 디엔들, 예컨대 헥사디엔 부타디엔, 이소프렌, 펜타디엔 등; 알킨들, 예컨대 아세틸렌, 비닐아세틸렌 등을 포함한다. 지환식 탄화수소들은, 예컨대, 시클로프로판, 시클로부탄, 시클로펜탄, 시클로펜타디엔, 톨루엔 등을 포함한다. 방향족 탄화수소들은, 예컨대, 벤젠, 스티렌, 톨루엔, 크실렌, 피리딘, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란 등을 포함한다. 부가적으로, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르가 활용될 수 있다. 부가적으로, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르가 선택될 수 있다. 예시적인 실시예에서, 탄화수소 화합물들은 프로펜, 아세틸렌, 에틸렌, 프로필렌, 부틸렌들, 톨루엔, 알파-테르피넨이다. 특정한 실시예에서, 탄화수소 화합물은 프로펜(C3H6) 또는 아세틸렌이다.
[0048] 대안적으로, 하나 또는 그 초과의 탄화수소 가스가, 프로세스 챔버에 공급되는 증착 가스 혼합물에서의 탄화수소 가스와 혼합될 수 있다. 2개 또는 그 초과의 탄화수소 가스의 혼합물이 비정질 탄소 층을 증착하기 위해 사용될 수 있다. 아르곤(Ar) 또는 헬륨(He)과 같은 비활성 가스가 프로세스 챔버(100, 232) 내로 가스 혼합물과 함께 공급된다. 질소(N2)와 일산화 질소(NO), 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들과 같은 다른 캐리어 가스들이 또한, 비정질 탄소 층의 증착 레이트 및 밀도를 제어하기 위해 사용될 수 있다. H2 및/또는 NH3의 부가가, 증착되는 비정질 탄소 층의 수소 비율(예컨대, 탄소 대 수소 비율)을 제어하기 위해 사용될 수 있다. 비정질 탄소 층에 존재하는 수소 비율은 반사율, 응력, 투명도, 및 밀도와 같은 층 특성들에 대한 제어를 제공한다. 일 실시예에서, 비정질 탄소 층을 증착하기 위해, 프로세스 챔버 내로, 프로펜(C3H6) 또는 아세틸렌과 같은 탄화수소 가스와 함께, 아르곤(Ar) 또는 헬륨(He) 가스와 같은 비활성 가스가 공급된다. 증착 가스 혼합물에서 제공되는 비활성 가스는, 기판(101) 상에 증착될 비정질 탄소 층의 굴절률(n) 및 흡수 계수(k), 경도, 밀도, 및 탄성 계수와 같은, 증착-직후의 층의 광학 및 기계적인 특성들의 제어를 보조할 수 있다.
[0049] 증착 동안에, 약 50 와트 내지 약 5000 와트의 원격 플라즈마 RF 전력이 프로세싱 챔버에 공급될 수 있다. 약 450 와트 내지 약 1000 와트의 RF 소스 전력이, 가스 혼합물로부터 형성된 플라즈마를 유지하기 위해 인가될 수 있다. 일 실시예에서, 프로세싱 챔버에 RF 소스 전력을 인가하면서, 듀얼 RF 주파수 바이어스 전력이 프로세싱 챔버에 공급될 수 있어서, 증착 가스 혼합물에서 플라즈마를 형성하는 것을 보조할 수 있다. 듀얼 RF 주파수 바이어스 전력은, 프로세싱 챔버에 배치된, 샤워헤드 어셈블리 또는 기판 또는 양자 모두와 같은 전극에 인가될 수 있다. 본원에서 도시된 실시예에서, 듀얼 RF 주파수 바이어스 전력은, 각각 도 1 및 도 2에서 도시된 기판 지지 어셈블리(148 또는 250)와 같은 캐소드에 인가된다. 일 실시예에서, 제 1 RF 바이어스 전력이 약 2 MHz의 제 1 주파수로 바이어스 전력을 생성하기 위해 선택되고, 제 2 RF 바이어스 전력이 약 60 MHz의 제 2 주파수로 전력을 생성하기 위해 선택된다. RF 바이어스 전력들은, 1:10 내지 10:1의 제 1 바이어스 전력 대 제 2 바이어스 전력의 미리 결정된 전력 비율로, 최대 약 3000 와트의 총 RF 전력을 제공한다. 제 1 RF 바이어스 전력의 제 1 주파수는 넓은 이온 에너지 분포(예컨대, 더 낮은 주파수)를 제공하는 것으로 생각된다. 제 2 RF 바이어스 전력의 제 2 주파수는, 뾰족하고 명확한(peaked, well defined) 이온 에너지 분포(예컨대, 더 높은 주파수)를 제공한다. 2개의 바이어스 주파수들의 혼합은, 이러한 DC 전위에 의해 생성되는 이러한 평균 가속에 관하여 에너지 분포를 튜닝하기 위해 사용된다. 따라서, 듀얼 주파수 RF 바이어스 전력을 갖는 플라즈마 강화 프로세싱 챔버를 활용하여, 플라즈마 내의 이온 에너지 분포가 제어될 수 있다.
[0050] 일 실시예에서, 증착 프로세스 윈도우는 유리하게, 넓은 총 전력 범위에서의 상이한 혼합 비율로, 고 주파수(예컨대, 13.56 MHz, 60 MHz, 162 MHz, 또는 그 초과) 및 저 주파수(예컨대, 2 MHz 또는 그 미만) 바이어스 RF 신호를 혼합함으로써 넓어진다. 2개의 바이어스 주파수들의 바이어스 전력의 비율은 유리하게, 이온 에너지 분포 및 플라즈마 시스를 제어함으로써, 프로세스 챔버에서 생성되는 탄소 원소들의 양 및 형성되는 결합 에너지를 제어하기 위한 유연성(flexibility)을 용이하게 하기 위해 활용될 수 있다. 일 예에서, 50 퍼센트의 2 MHz 제 1 RF 바이어스 전력 및 50 퍼센트의 60 MHz 제 2 바이어스 전력이 선택되는 경우에, 약 31 MHz RF 바이어스 전력의 유효 바이어스 전력이 획득될 수 있다. 상이한 RF 바이어스 주파수로 생성되는 플라즈마 이온 분포 및 시스를 조작함으로써, 원하는 저 응력 레벨과 함께 비정질 탄소 층에 형성되는 원하는 막 고 밀도가 획득될 수 있고 밸런싱될(balanced) 수 있다. 일 실시예에서, 제 1 주파수를 갖는 제 1 바이어스 전력 대 제 2 주파수를 갖는 제 2 바이어스 전력의 비율이, 약 1:10 내지 10:1, 예컨대 약 8:1 내지 약 1:5, 예를 들어 약 7:1 내지 약 1:1로, 프로세싱 챔버에 인가될 수 있다. 제 1 주파수는 10 MHz 초과, 예컨대 약 10.5 MHz 내지 약 200 MHz의 비교적 높은 주파수이다. 제 2 주파수는 8 MHz 미만, 예컨대 약 0.1 MHz 내지 약 7 MHz의 비교적 더 낮은 주파수이다. 약 100 와트 내지 약 2000 와트, 예컨대 150 와트 내지 약 900 와트의 제 1 RF 바이어스 전력이 프로세싱 챔버에 인가될 수 있다. 약 100 와트 내지 약 3000 와트, 예컨대 500 와트 내지 약 2000 와트의 제 2 RF 바이어스 전력이 프로세싱 챔버에 인가될 수 있다.
[0051] 수개의 프로세스 파라미터들이 또한, 증착 프로세스 동안에 제어될 수 있다. 기판 온도는 섭씨 약 300 도 내지 섭씨 약 800 도로 제어될 수 있다. 프로펜(C3H6)과 같은 탄화수소 화합물이 약 400 sccm 내지 약 2000 sccm의 레이트로 가스 혼합물에서 공급될 수 있다. Ar 가스와 같은 비활성 가스가 약 1200 sccm 내지 약 8000 sccm의 레이트로 가스 혼합물에서 공급될 수 있다. 프로세스 압력은 약 1 Torr 내지 약 20 Torr로 유지될 수 있다. 기판과 샤워헤드 사이의 간격은 약 200 mil 내지 약 1000 mil로 제어될 수 있다. 프로세싱을 위해 챔버 내로 공급될 탄화수소 가스를 해리시키는 것을 보조하기 위해, 도 1 및 도 2에서 도시된 원격 플라즈마 소스(177, 271)와 같은 원격 플라즈마 소스로부터 탄화수소 가스가 공급될 수 있다는 것이 유의된다.
[0052] 선택적인 단계(301)에서의 증착 프로세스 후에, 기판(101) 상에 비정질 탄소 층이 형성될 수 있다. 고 및 저 RF 바이어스 주파수 사이의 원하는 전력 비율에 따르는 듀얼 RF 바이어스 주파수 하에서, 막 투명도 및 막 응력과 함께 원하는 막 밀도로 막 특성들이 유리하게 획득될 수 있다. 일 실시예에서, 1.6 g/cc 초과, 예컨대 약 1.7 g/cc 내지 약 2.3 g/cc의 막 밀도가 획득될 수 있다.
[0053] 단계(301)에서 수행되는 비정질 탄소 층 증착 프로세스가, CVD, ALD, PVD 등을 포함하여, 듀얼 RF 바이어스 주파수 애플리케이션들을 이용하거나 또는 이용하지 않는 임의의 다른 적합한 증착 프로세스일 수 있다는 것이 유의된다.
[0054] 단계(302)에서, 프로세싱 챔버 세정 프로세스를 시작하기 위해, 프로세싱 챔버(100, 232) 내로 세정 가스 혼합물이 공급될 수 있다. 일 실시예에서, 세정 가스 혼합물은 적어도 하나의 산소 함유 가스를 포함할 수 있다. 프로세싱 챔버에 남아있는 잔여물들 및/또는 축적물들이 (선택적인 단계(301)에서 수행된 이전의 증착 프로세스로부터의) 탄소 계 재료들일 가능성이 가장 높을 수 있기 때문에, 산소 함유 가스가 탄소 함유 잔여물들 및/또는 축적물들을 제거하기 위해 활용될 수 있다. 산소 함유 가스가 탄소 함유 잔여물들 및/또는 축적물들과 반응할 수 있어서, 프로세싱 챔버 밖으로 펌핑될 수 있는 탄소 산화물 가스, 탄소 수소 가스, 또는 다른 탄소 함유 부산물이 형성될 수 있다. 산소 함유 가스의 적합한 예들은 O2, H2O, 및 O3를 포함한다. 캐리어 가스, 비활성 가스, 또는 어떤 다른 가스가 또한, 프로세싱을 위해 프로세싱 챔버 내로 산소 함유 가스를 유동시키는 것을 보조하고, 탄소 잔류물들과의 완전한 반응을 촉진하기 위해, 가스 혼합물에 부가될 수 있다. 캐리어 가스의 적합한 예들은 N2, O2, N2O, NO2, NH3, H2O, H2, O3 등을 포함한다. 비활성 가스들의 적합한 예들은 N2, Ar, He, Xe, 및 Kr 가스를 포함한다.
[0055] 대안적으로, 세정 가스 혼합물은 부가적인 불소 함유 가스를 포함할 수 있다. 불소 함유 가스는, 세정 가스 혼합물로부터 형성된 플라즈마에 의해, 반응성 에천트들로서 해리된다. 세정 가스 혼합물에서의 불소 함유 가스로부터 해리된 불소 이온들은, 프로세싱 챔버로부터 탄소 함유 잔여물들 및/또는 축적물들을 제거하는 것을 보조하기 위해, 탄소 함유 잔여물들 및/또는 축적물들과 반응할 수 있고, 탄소 함유 잔여물들 및/또는 축적물들을 공격할 수 있다. 불소 함유 가스의 적합한 예들은 NF3, C4F6, C4F8, C2F2, CF4, CHF3, C2F6, C4F6, C5F8, CH2F2, SF6 등을 포함할 수 있다. 예시적인 실시예에서, 세정 가스 혼합물에서 사용되는 불소 함유 가스는 NF3이다. 하나의 특정한 실시예에서, 세정 가스 혼합물은 O2, Ar, 및 선택적인 NF3 가스를 포함한다.
[0056] 단계(304)에서, 프로세싱 챔버 내로 세정 가스 혼합물을 공급하면서, 저 주파수 RF 바이어스 전력이 프로세싱 챔버에 인가될 수 있다. 전극 중 하나, 도 1 및 도 2에서 도시된 샤워헤드 어셈블리(130, 220) 또는 기판 지지 어셈블리(148 또는 250)에 공급되는 저 주파수 RF 바이어스 전력은, 저 주파수 RF 바이어스 전력이, 수직 및 직진성(straight)의 이온 프로파일들을 갖는 이온 에너지를 더 많이 제공할 수 있기 때문에, 프로세싱 챔버의 바닥을 세정하는 것을 보조할 수 있는 것으로 생각된다. 대조적으로, 고 주파수 RF 바이어스 전력은 점진적으로 훨씬 더 많은 집중된 이온/플라즈마 밀도를 갖는 것으로 생각된다. 따라서, 상이한 주파수들을 갖는 RF 바이어스 전력을 선택함으로써, 이온 방향들이 효율적으로 제어될 수 있고, 그에 의해, 국부화된(localized) 세정 효율이 촉진될 수 있다. 선택된 저 주파수 RF 전력에 의해 가속된 이온들의 방향 및 궤적은, 프로세싱 챔버에서의 타겟 위치에서의 세정 효율을 촉진할 수 있고, 그에 의해, 기판 지지 어셈블리(148, 250) 또는 프로세싱 챔버의 바닥 부분(즉, 기판 지지 어셈블리(148, 250)의 상부 표면 아래) 주위와 같은 특정한 위치에서의 국부화된 세정 효율을 보조할 수 있다.
[0057] 일 실시예에서, 세정 프로세스 동안에 활용되는 저 주파수 RF 전력은, 전극들 중 하나, 예컨대 기판 지지 어셈블리 또는 샤워헤드, 예컨대 기판 지지 어셈블리에 공급되는 약 2 MHz 또는 그 미만에서의 저 주파수를 가질 수 있다. 일 예에서, 저 주파수 RF 전력은 약 2 MHz의 저 주파수로 바이어스 전력을 생성하기 위해 선택된다. 저 주파수 RF 바이어스 전력은 프로세싱 챔버에 약 100 와트 내지 약 2000 와트로 제공될 수 있다.
[0058] 세정 프로세스 동안에 인가되는 저 주파수 RF 바이어스 전력에 부가하여, RF 소스 전력이 또한, 저 주파수 RF 바이어스 전력과 함께 인가될 수 있다. 도 1 및 도 2에서 도시된 바와 같이, 기판 지지 어셈블리(148 또는 250)에 저 주파수 RF 바이어스 전력이 인가될 수 있으면서, RF 전력 소스들(143, 240)이 샤워헤드 어셈블리(130, 220)에 전력을 인가할 수 있다. RF 소스 전력은 세정 가스 혼합물에서의 플라즈마를 유지하기 위해 인가될 수 있다. 예컨대, 약 13.56 mHz 또는 60 mHz의 주파수에서의 약 100 와트 내지 약 1000 와트의 RF 소스 전력이 프로세싱 챔버 내부에서 플라즈마를 유지하기 위해 인가될 수 있다.
[0059] 몇몇 실시예들에서, RPS(원격 플라즈마 소스)(177, 271)로부터의 전력이 또한, 필요한 경우에, 세정 프로세스 동안에 프로세싱 챔버에 인가될 수 있다. RPS(원격 플라즈마 소스) 전력은, RF 소스 전력과 함께 또는 RF 소스 전력 없이, 저 주파수 RF 바이어스 전력과 함께 프로세싱 챔버에 인가될 수 있다. 일 실시예에서, 세정 프로세스 동안에 인가되는 RPS 전력은 약 1000 와트 내지 약 10000 와트이다.
[0060] 세정 프로세스 동안에, 수개의 프로세스 파라미터들이 세정 프로세스를 제어하기 위해 조절될 수 있다. 하나의 예시적인 실시예에서, 프로세싱 챔버에서의 프로세스 압력은 약 100 mTorr 내지 약 10000 mTorr로 조절된다. 기판 온도는 섭씨 약 15 도 내지 섭씨 약 450 도로 유지된다.
[0061] 단계(306)에서, 세정 프로세스 동안에, 기판 지지 어셈블리(148 또는 250)는, 프로세싱 챔버(100, 232)의, 예컨대 기판 지지 어셈블리(148 또는 250)의 상단 표면 근처 및 그 아래와 같은 바닥 부분을 세정하는 것을 용이하게 하기 위해, 수직으로 이동될 수 있다. 기판 동안에, 기판 지지 어셈블리(149, 250) 상에 기판이 있을 수 있거나 또는 있지 않을 수 있다. 몇몇 경우들에서, 필요한 경우에, 더미 기판이 활용될 수 있고, 기판 지지 어셈블리(148, 150) 상에 배치될 수 있다. 위에서 논의된 바와 같이, 통상적인 세정 프로세스에서, 세정 반응물들을 갖는 플라즈마가 일반적으로, 기판 지지 어셈블리(148, 250) 위에 분포되고, 그에 의해, 종종, 기판 지지 어셈블리(148, 250) 위의 표면들 또는 챔버 측벽들을 주로 세정한다. 따라서, 세정 프로세스 동안의 기판 지지 어셈블리(148, 250)의 이동과 함께, 챔버 바닥에 도달하기 위해 수직 방향성을 갖는 이온들을 제공할 수 있는 저 주파수 RF 바이어스 전력을 활용함으로써, 플라즈마로부터의 더 많은 양의 세정 반응물들이 기판 지지 어셈블리(148, 250) 아래의 프로세싱 챔버의 바닥 부분에 도달할 수 있고, 그에 의해, 프로세싱 챔버의 바닥 부분에 위치된 증착 잔여물들 및/또는 축적물들이 효율적으로 제거될 수 있다. 그 동안에, 기판 지지 어셈블리(148, 250) 위에 일반적으로 남아있는 플라즈마는 주로, 챔버 측벽들, 천장, 기판 지지 어셈블리(148, 250) 위의 노출된 표면들, 또는 챔버 바디의 다른 부분들 상의 증착 잔여물들 및/또는 축적물들을 제거할 수 있다. 몇몇 경우들에서, 세정 프로세스 동안에 공급되는 RF 소스 전력 및/또는 RPS 전력이 또한, 일반적으로 기판 지지 어셈블리(148) 위에 있는 증착 잔여물들 및/또는 축적물들을 제거하는 것을 보조할 수 있다.
[0062] 일 실시예에서, 기판 지지 어셈블리(148, 250)는, 세정 프로세스 동안에, 약 100 mil 내지 약 800 mil로 제어된다. 하나의 특정한 실시예에서, 세정 프로세스 동안에, 기판 지지 어셈블리는 약 200 mil 내지 약 700 mil로 수직으로 이동된다. 기판 지지 어셈블리의 이동은, 필요에 따라, 약 0.01 초 내지 약 5 초와 같은 미리 결정된 시간 기간에 걸쳐, 연속적으로 또는 간헐적으로(intermittingly) 왕복(reciprocating)할 수 있다.
[0063] 단계(308)에서, 시간의 미리 결정된 기간 동안 세정 프로세스가 수행되고, 그리고/또는 증착 잔여물들 및/또는 축적물들이 프로세싱 챔버로부터 실질적으로 제거되고 세정된 후에, 비정질 탄소 증착 프로세스를 위해 프로세싱 챔버 내로 후속하여 이송되는 기판들을 위한 깨끗한 환경을 제공하면서, 세정 프로세스가 종료될 수 있다. 일 실시예에서, 세정 프로세스는 약 60 초 내지 약 600 초 동안 수행될 수 있다.
[0064] 선택적인 단계(309)에서, 세정 프로세스 후에, 필요에 따라, 기판 상에 비정질 탄소 층을 증착하기 위해, 단계(301)에서 나타낸 증착 프로세스와 유사한 비정질 탄소 층 증착 프로세스가 선택적으로 수행될 수 있다. 단계(301 또는 309)에서의 증착 프로세스, 및 단계(302)로부터 단계(308)까지의 세정 프로세스가, 필요에 따라, 프로세싱 챔버의 청정도를 보장하도록, 주기적인 세정(각각의 기판 프로세스 또는 다수의 기판 프로세싱 후)을 유지하기 위해, 순환하여/연속적으로 수행될 수 있다는 것이 유의된다.
[0065] 따라서, 증착 잔여물들 및/또는 축적물들을 제거하기 위해 세정 프로세스를 수행하기 위한 방법들이 제공된다. 세정 방법은, 세정 프로세스 동안에 저 RF 바이어스 전력을 활용하고, 이는 프로세싱 챔버의 바닥 부분을 유리하게 세정할 수 있으며, 그에 따라, 프로세싱 챔버에 대한 철저한 세정 프로세스를 제공할 수 있다. 세정 방법은, 필요에 따라, 플라즈마 프로세싱 전에 또는 후에, 다른 프로세싱 챔버들을 세정하는데 적합할 수 있다.
[0066] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 챔버를 세정(cleaning)하는 방법으로서,
    프로세싱 챔버 내로 세정 가스 혼합물을 공급하는 단계;
    상기 프로세싱 챔버에서의 상기 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 상기 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 단계; 및
    상기 프로세싱 챔버로부터 증착 잔여물들을 제거하는 단계
    를 포함하는,
    프로세싱 챔버를 세정하는 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 챔버에 상기 RF 바이어스 전력을 인가하는 것은,
    상기 기판 지지 어셈블리에 상기 RF 바이어스 전력을 인가하면서, 상기 기판 지지 어셈블리를 수직으로 이동시키는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하는 방법.
  3. 제 1 항에 있어서,
    상기 프로세싱 챔버에 상기 RF 바이어스 전력을 인가하는 것은,
    상기 프로세싱 챔버에 RF 소스 전력을 인가하는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하는 방법.
  4. 제 1 항에 있어서,
    상기 프로세싱 챔버에 상기 RF 바이어스 전력을 인가하는 것은,
    상기 프로세싱 챔버에 원격 플라즈마 전력을 인가하는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하는 방법.
  5. 제 1 항에 있어서,
    상기 세정 가스 혼합물은 적어도 산소 함유 가스를 포함하는,
    프로세싱 챔버를 세정하는 방법.
  6. 제 5 항에 있어서,
    상기 산소 함유 가스는, O2, H2O, 및 O3로 구성된 그룹으로부터 선택되는,
    프로세싱 챔버를 세정하는 방법.
  7. 제 3 항에 있어서,
    상기 RF 소스 전력은, 상기 프로세싱 챔버에 배치된 샤워헤드 어셈블리에 인가되는,
    프로세싱 챔버를 세정하는 방법.
  8. 제 1 항에 있어서,
    상기 세정 가스 혼합물은 불소 함유 가스를 포함하는,
    프로세싱 챔버를 세정하는 방법.
  9. 제 8 항에 있어서,
    상기 불소 함유 가스는, NF3, C4F6, C4F8, C2F2, CF4, CHF3, C2F6, C4F6, C5F8, CH2F2, 및 SF6로 구성된 그룹으로부터 선택되는,
    프로세싱 챔버를 세정하는 방법.
  10. 제 1 항에 있어서,
    상기 세정 가스 혼합물은, O2, Ar, 및 NF3를 포함하는,
    프로세싱 챔버를 세정하는 방법.
  11. 제 1 항에 있어서,
    상기 프로세싱 챔버가 세정된 후에, 상기 프로세싱 챔버에 배치된 기판에 대해 비정질 탄소 층 증착 프로세스를 수행하는 단계
    를 더 포함하는,
    프로세싱 챔버를 세정하는 방법.
  12. 제 1 항에 있어서,
    세정을 위해 상기 프로세싱 챔버 내로 상기 세정 가스 혼합물을 공급하기 전에, 상기 프로세싱 챔버에 배치된 기판에 대해 비정질 탄소 층 증착 프로세스를 수행하는 단계
    를 더 포함하는,
    프로세싱 챔버를 세정하는 방법.
  13. 비정질 탄소 층 배치 프로세스 후에 프로세싱 챔버를 세정하기 위한 방법으로서,
    프로세싱 챔버에 배치된 기판에 대해 비정질 탄소 층 증착 프로세스를 수행하는 단계; 및
    상기 비정질 탄소 층이 위에 증착된 기판을 제거한 후에, 상기 프로세싱 챔버에서 세정 프로세스를 수행하는 단계
    를 포함하며,
    상기 세정 프로세스는,
    상기 프로세싱 챔버 내로 세정 가스 혼합물을 공급하는 것 ― 상기 세정 가스 혼합물은 O2, Ar, 및 NF3를 포함함 ―;
    상기 프로세싱 챔버에서의 상기 세정 가스 혼합물에서 플라즈마를 형성하기 위해, 상기 프로세싱 챔버에 배치된 기판 지지 어셈블리에 약 2 MHz 또는 그 미만의 RF 바이어스 전력을 인가하는 것; 및
    상기 프로세싱 챔버로부터 증착 잔여물들을 제거하는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 프로세싱 챔버에 상기 RF 바이어스 전력을 인가하는 것은,
    상기 기판 지지 어셈블리에 상기 RF 바이어스 전력을 인가하면서, 상기 기판 지지 어셈블리를 수직으로 이동시키는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  15. 제 13 항에 있어서,
    상기 프로세싱 챔버에 상기 RF 바이어스 전력을 인가하는 것은,
    상기 프로세싱 챔버에 원격 플라즈마 전력을 인가하는 것
    을 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
KR1020167024972A 2014-02-11 2015-01-13 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스 KR20160119849A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461938491P 2014-02-11 2014-02-11
US61/938,491 2014-02-11
PCT/US2015/011251 WO2015122981A1 (en) 2014-02-11 2015-01-13 Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications

Publications (1)

Publication Number Publication Date
KR20160119849A true KR20160119849A (ko) 2016-10-14

Family

ID=53775530

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167024972A KR20160119849A (ko) 2014-02-11 2015-01-13 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스

Country Status (4)

Country Link
US (1) US20150228463A1 (ko)
KR (1) KR20160119849A (ko)
TW (1) TW201534410A (ko)
WO (1) WO2015122981A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN107154332B (zh) * 2016-03-03 2019-07-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及方法
US10679830B2 (en) * 2016-06-20 2020-06-09 Applied Materials, Inc. Cleaning process for removing boron-carbon residuals in processing chamber at high temperature
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
KR20220020820A (ko) * 2019-05-15 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 챔버 잔류물들을 감소시키는 방법들
US11935730B2 (en) 2019-08-01 2024-03-19 Lam Research Corporation Systems and methods for cleaning an edge ring pocket
CN113473708A (zh) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 一种电路板结构的制作方法
KR20210145078A (ko) * 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US20210384015A1 (en) * 2020-06-09 2021-12-09 Applied Materials, Inc. Plasma cleaning methods for processing chambers
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
US11772137B2 (en) * 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
CN114146987B (zh) * 2021-11-30 2022-11-29 广州发展电力科技有限公司 一种风力发电机转子接地处理方法、系统及计算机设备

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3768575B2 (ja) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8721796B2 (en) * 2008-10-23 2014-05-13 Applied Materials, Inc. Plasma cleaning apparatus and method
US20110162674A1 (en) * 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers

Also Published As

Publication number Publication date
US20150228463A1 (en) 2015-08-13
WO2015122981A1 (en) 2015-08-20
TW201534410A (zh) 2015-09-16

Similar Documents

Publication Publication Date Title
KR20160119849A (ko) 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
TWI760555B (zh) 蝕刻方法
US20150371851A1 (en) Amorphous carbon deposition process using dual rf bias frequency applications
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TWI471448B (zh) 非晶質碳層之高溫沈積的方法
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
KR20180035929A (ko) Pecvd 시스템에서 비정질 붕소-탄소 하드마스크 프로세스의 막-내 입자 성능을 개선하기 위한 방법들
KR20070118968A (ko) 비정질 탄소 층의 저온 증착을 위한 방법
TWI766866B (zh) 蝕刻方法
US10892198B2 (en) Systems and methods for improved performance in semiconductor processing
JP2004031888A (ja) フルオロカーボンフィルムの堆積方法
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
TW202129753A (zh) 基板處理方法、半導體元件之製造方法及電漿處理裝置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application