CN110892509A - 消除光刻中随机数的收率影响 - Google Patents
消除光刻中随机数的收率影响 Download PDFInfo
- Publication number
- CN110892509A CN110892509A CN201880046648.9A CN201880046648A CN110892509A CN 110892509 A CN110892509 A CN 110892509A CN 201880046648 A CN201880046648 A CN 201880046648A CN 110892509 A CN110892509 A CN 110892509A
- Authority
- CN
- China
- Prior art keywords
- hard mask
- feature
- mask material
- substrate
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000206 photolithography Methods 0.000 title claims description 22
- 239000000758 substrate Substances 0.000 claims abstract description 212
- 238000000034 method Methods 0.000 claims abstract description 203
- 238000000151 deposition Methods 0.000 claims abstract description 169
- 238000005530 etching Methods 0.000 claims abstract description 122
- 230000008021 deposition Effects 0.000 claims abstract description 117
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 112
- 238000012545 processing Methods 0.000 claims abstract description 38
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims abstract description 26
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims abstract description 18
- 230000001419 dependent effect Effects 0.000 claims abstract description 11
- 239000000463 material Substances 0.000 claims description 341
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 82
- 229910052799 carbon Inorganic materials 0.000 claims description 80
- 230000008569 process Effects 0.000 claims description 74
- 239000007789 gas Substances 0.000 claims description 70
- 239000004065 semiconductor Substances 0.000 claims description 30
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 28
- 239000002243 precursor Substances 0.000 claims description 28
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000010703 silicon Substances 0.000 claims description 26
- 239000003575 carbonaceous material Substances 0.000 claims description 22
- 238000001459 lithography Methods 0.000 claims description 22
- 239000011261 inert gas Substances 0.000 claims description 21
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 18
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 claims description 17
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 15
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 13
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 13
- 239000000203 mixture Substances 0.000 claims description 12
- 238000000671 immersion lithography Methods 0.000 claims description 11
- 229910052786 argon Inorganic materials 0.000 claims description 10
- -1 tin nitride Chemical class 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 9
- 229910001887 tin oxide Inorganic materials 0.000 claims description 9
- 239000001307 helium Substances 0.000 claims description 8
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 8
- 239000000377 silicon dioxide Substances 0.000 claims description 8
- 239000003085 diluting agent Substances 0.000 claims description 7
- 235000012239 silicon dioxide Nutrition 0.000 claims description 7
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- 239000006117 anti-reflective coating Substances 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- 238000005229 chemical vapour deposition Methods 0.000 claims description 5
- 239000011521 glass Substances 0.000 claims description 4
- 229910052754 neon Inorganic materials 0.000 claims description 4
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 4
- 150000004767 nitrides Chemical class 0.000 claims description 4
- 238000004544 sputter deposition Methods 0.000 claims description 4
- 229910052724 xenon Inorganic materials 0.000 claims description 4
- 229910052743 krypton Inorganic materials 0.000 claims description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 3
- 229910000464 lead oxide Inorganic materials 0.000 claims description 3
- 229940056932 lead sulfide Drugs 0.000 claims description 3
- 229910052981 lead sulfide Inorganic materials 0.000 claims description 3
- YEXPOXQUZXUXJW-UHFFFAOYSA-N oxolead Chemical compound [Pb]=O YEXPOXQUZXUXJW-UHFFFAOYSA-N 0.000 claims description 3
- AFNRRBXCCXDRPS-UHFFFAOYSA-N tin(ii) sulfide Chemical compound [Sn]=S AFNRRBXCCXDRPS-UHFFFAOYSA-N 0.000 claims description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 3
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 claims description 2
- 238000000276 deep-ultraviolet lithography Methods 0.000 claims description 2
- 230000000694 effects Effects 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 102
- 210000002381 plasma Anatomy 0.000 description 68
- 235000012431 wafers Nutrition 0.000 description 59
- 239000010408 film Substances 0.000 description 30
- 239000007800 oxidant agent Substances 0.000 description 23
- 230000007547 defect Effects 0.000 description 17
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 16
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 16
- 239000001301 oxygen Substances 0.000 description 16
- 229910052760 oxygen Inorganic materials 0.000 description 15
- 239000000376 reactant Substances 0.000 description 15
- 229910052718 tin Inorganic materials 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 13
- 238000012546 transfer Methods 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- 238000010926 purge Methods 0.000 description 12
- 238000010586 diagram Methods 0.000 description 11
- 230000004048 modification Effects 0.000 description 11
- 238000012986 modification Methods 0.000 description 11
- 229910052814 silicon oxide Inorganic materials 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 238000009616 inductively coupled plasma Methods 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 9
- 239000001569 carbon dioxide Substances 0.000 description 8
- 229910002092 carbon dioxide Inorganic materials 0.000 description 8
- 238000000059 patterning Methods 0.000 description 8
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 8
- QHGNHLZPVBIIPX-UHFFFAOYSA-N tin(ii) oxide Chemical compound [Sn]=O QHGNHLZPVBIIPX-UHFFFAOYSA-N 0.000 description 8
- 230000002950 deficient Effects 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 230000009467 reduction Effects 0.000 description 6
- 238000013459 approach Methods 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 238000001179 sorption measurement Methods 0.000 description 5
- 125000004122 cyclic group Chemical group 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 238000011112 process operation Methods 0.000 description 4
- 230000008439 repair process Effects 0.000 description 4
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 239000013626 chemical specie Substances 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 229910021389 graphene Inorganic materials 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 230000000737 periodic effect Effects 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- 229910002601 GaN Inorganic materials 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 150000001450 anions Chemical class 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 150000001768 cations Chemical class 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910010272 inorganic material Inorganic materials 0.000 description 2
- 239000011147 inorganic material Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000011135 tin Substances 0.000 description 2
- ZSUXOVNWDZTCFN-UHFFFAOYSA-L tin(ii) bromide Chemical compound Br[Sn]Br ZSUXOVNWDZTCFN-UHFFFAOYSA-L 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical class N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- CKEPNQBBRACRLR-UHFFFAOYSA-N C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C Chemical compound C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C CKEPNQBBRACRLR-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910020389 SiO1.8 Inorganic materials 0.000 description 1
- 229910020781 SixOy Inorganic materials 0.000 description 1
- 229910021626 Tin(II) chloride Inorganic materials 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- RNQKDQAVIXDKAG-UHFFFAOYSA-N aluminum gallium Chemical compound [Al].[Ga] RNQKDQAVIXDKAG-UHFFFAOYSA-N 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical compound Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 1
- 108010009617 califin Proteins 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- UBAZGMLMVVQSCD-UHFFFAOYSA-N carbon dioxide;molecular oxygen Chemical compound O=O.O=C=O UBAZGMLMVVQSCD-UHFFFAOYSA-N 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical compound I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- WHXTVQNIFGXMSB-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)stannyl]methanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)N(C)C WHXTVQNIFGXMSB-UHFFFAOYSA-N 0.000 description 1
- 239000005519 non-carbonaceous material Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 239000003504 photosensitizing agent Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 235000011150 stannous chloride Nutrition 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- AXZWODMDQAVCJE-UHFFFAOYSA-L tin(II) chloride (anhydrous) Chemical compound [Cl-].[Cl-].[Sn+2] AXZWODMDQAVCJE-UHFFFAOYSA-L 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
- G03F7/70033—Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Drying Of Semiconductors (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Saccharide Compounds (AREA)
Abstract
本文描述了用于在光刻图案化的衬底上执行与深宽比有关的沉积和与深宽比无关的蚀刻的循环的方法和装置。方法适合于减少通过光刻形成和部分形成的特征之间的特征深度和/或深宽比的变化,一些部分形成的特征由于随机效应而部分形成。方法和装置适合于在极紫外光刻之后处理具有光致抗蚀剂的衬底。一些方法涉及通过等离子体增强化学气相沉积进行的沉积以及通过原子层蚀刻进行的定向蚀刻的循环。
Description
技术领域
本发明总体上涉及半导体领域,更具体地涉及使用ALE和选择性沉积蚀刻衬底。
相关申请的交叉引用
本申请要求于2018年5月14日提交的、名称为“ELIMINATING YIELD IMPACT OFSTOCHASTICS IN LITHOGRAPHY”的美国专利申请No.15/979,340的权益,其要求于2017年5月16日提交的、名称为“ELIMINATING YIELD IMPACT OF STOCHASTICS IN EUVLITHOGRAPHY”的美国临时专利申请No.62/506,803的权益,其通过引用的方式将其整体并入并且用于所有目的。
背景技术
图案化方法对于半导体处理至关重要。特别地,已经探索了极紫外(EUV)光刻技术,以将光刻技术扩展到其光学极限之外,并取代当前的光刻方法来图案化小的关键尺寸特征。当前的EUV光刻方法导致可能最终使衬底无用的差的边缘粗糙度和弱图案化。
这里提供的背景描述是为了一般地呈现本公开的上下文的目的。目前所指名的发明人的工作,在该背景技术部分中描述的程度以及本说明书的可能在申请时不被另外认为是现有技术的部分,既不明确地也不隐含地被承认为针对本公开的现有技术。
发明内容
本文描述了用于处理半导体衬底的方法和装置。一个方面涉及一种处理半导体衬底的方法,该方法包括:提供具有在第一硬掩模材料中通过光刻形成的第一特征和第二特征的衬底,其中,所述第一特征通过所述光刻部分地形成并且包括底部,并且所述第一硬掩模材料包括所述第一特征的特征开口和所述第二特征的特征开口之间的场区域;在所述第一硬掩模材料上沉积第二硬掩模材料持续足以优先在所述场区域上形成第二硬掩模材料至厚度大于所述第一特征中的所述第二硬掩模材料的厚度的时间;以及定向蚀刻所述第二硬掩模材料以去除在所述第一特征的所述底部的材料,其中在所述第一特征的所述底部的所述材料是所述第一硬掩模材料或所述第二硬掩模材料。
在多种实施方案中,执行所述定向蚀刻以蚀刻穿过所述第二硬掩模材料并且暴露在所述第一特征的所述底部的在所述第一硬掩模材料下面的第三硬掩模材料。在一些实施方案中,所述第二特征的底部包括在所述第一硬掩模材料下面的第三硬掩模材料。
在多种实施方案中,沉积到所述第一特征和第二特征中的所述第二硬掩模材料的量取决于所述第一特征的深宽比和所述第二特征的深宽比。
在多种实施方案中,与所述第一特征和所述第二特征的深宽比无关地执行定向蚀刻。
在多种实施方案中,所述第一特征的深宽比不同于所述第二特征的深宽比。
在多种实施方案中,所述第一特征的深度不同于所述第二特征的深度。
在多种实施方案中,所述第一特征在光刻期间暴露不足。
在多种实施方案中,所述第一特征的在所述沉积和所述定向蚀刻之后的关键尺寸是在所述第二特征的在所述沉积和所述定向蚀刻之前的关键尺寸的约0.5%至约1%内。
在多种实施方案中,所述第一特征的在所述沉积和所述定向蚀刻之后的深宽比是在所述第二特征的在所述沉积和所述定向蚀刻之前的深宽比的深宽比的约1%至约10%内。
在多种实施方案中,所述第一特征和所述第二特征的在沉积和定向蚀刻之后的平均关键尺寸为至少约15nm。
在多种实施方案中,在所述沉积和所述定向蚀刻之后在所述衬底上的所述第一特征和所述第二特征的关键尺寸的变化小于在光刻限定之后所述第一特征和所述第二特征的关键尺寸的变化。
在多种实施方案中,重复沉积所述第二硬掩模材料以及定向蚀刻所述第二硬掩模材料足够的循环,以减少去除在所述第一特征的底部的所述第一硬掩模材料。例如,在一些实施方案中,在每个循环中在所述第二特征中沉积的所述第二硬掩模材料保护所述第一硬掩模材料下面的材料不被蚀刻,并且在每个循环中的所述定向蚀刻去除位于所述第一特征的所述底部的第一硬掩模材料,使得所述第一特征的深度与所述第二特征的深度之间的差接近0。
在多种实施方案中,通过以下方式执行定向蚀刻所述第二硬掩模材料:将所述第二硬掩模材料暴露于蚀刻物质以形成改性表面,并且在施加偏置的同时,在没有所述蚀刻物质的情况下,在惰性气体环境中点燃等离子体以去除所述改性表面。例如,在一些实施方案中,将所述第二硬掩模材料暴露于所述蚀刻物质持续足以在所述第二硬掩模材料的所述改性表面中在其暴露于所述等离子体时去除介于约5nm和约10nm之间的材料的时间。
在多种实施方案中,所述第二硬掩模材料的所述沉积通过化学气相沉积形成。
在多种实施方案中,所述第二硬掩模材料的所述沉积通过等离子体增强化学气相沉积形成。
在多种实施方案中,所述第二硬掩模材料是含碳材料。例如,所述含碳材料可以掺杂有非晶碳中的一种或多种,所述非晶碳掺杂有选自由氧、氮、氟、硅、锡组成的组的元素,或来自元素周期表的III、IV、V、VI和VII族的任何其他合适的元素。
在多种实施方案中,所述第二硬掩模材料是含硅材料。在一些实施方案中,所述含硅材料是二氧化硅和氮化硅。
在多种实施方案中,所述第二硬掩模材料具有与所述第一硬掩模材料的组成不同的组成。
在多种实施方案中,所述第二硬掩模材料具有与所述第一硬掩模材料的晶格结构不同的晶格结构。
在多种实施方案中,第二硬掩模材料是含锡材料,例如氧化锡或氧化锡(II)(SnO)、二氧化锡或氧化锡(IV)(SnO2)。
在多种实施方案中,所述衬底包括在所述第一硬掩模材料下面的第三硬掩模材料。例如,所述第二硬掩模材料可以相对于所述第三硬掩模材料具有蚀刻选择性,使得所述第二硬掩模材料的蚀刻速率比所述第三硬掩模材料的蚀刻速率大至少三倍。在多种实施方案中,所述第三硬掩模材料是氧氮化硅、含硅的抗反射涂层材料、旋涂玻璃、底部抗反射涂层材料、氧化锡、氮化锡、硫化锡、氧化铅、氮化铅和硫化铅中的任何一者或者多者。
在多种实施方案中,所述第二特征的特征开口的宽度介于约15nm和约100nm之间。
在多种实施方案中,在所述第二硬掩模材料的所述定向蚀刻期间,沉积在所述场区域上的所述第二硬掩模材料保护所述第一硬掩模材料。
在多种实施方案中,所述第二硬掩模材料的所述沉积和所述定向蚀刻在同一工具中执行。
在多种实施方案中,在不破坏真空的情况下执行所述第二硬掩模材料的所述沉积和所述定向蚀刻。
在多种实施方案中,所述第一硬掩模材料选自由光致抗蚀剂和旋涂碳组成的组。
在多种实施方案中,所述第一特征和所述第二特征通过极紫外光刻形成。
在多种实施方案中,所述第一特征和第二特征通过浸渍光刻形成。
另一方面涉及一种处理半导体衬底的方法,该方法包括:提供具有图案化光致抗蚀剂的衬底,所述图案化光致抗蚀剂包括第一特征和第二特征,其中所述第一特征被部分地限定并且在所述第一特征的底部包含光致抗蚀剂;在提供所述衬底之后,优先在所述光致抗蚀剂上沉积含碳材料,使得在所述第二特征的底部比在所述第一特征的底部沉积更多的含碳材料;以及在沉积所述含碳材料之后,在所述衬底的暴露表面上进行原子层蚀刻。
在多种实施方案中,通过以下步骤执行所述原子层蚀刻:将所述衬底的所述暴露表面暴露于蚀刻物质并且在施加偏置的同时点燃第一等离子体以使所述衬底的所述暴露表面的表面改性并形成改性表面,以及将所述改性表面暴露于第二等离子体持续足以去除所述改性表面的时间。在多种实施方案中,当将所述改性表面暴露于所述第二等离子体时施加偏置。在一些实施方案中,在没有溅射所述改性表面下面的材料的情况下蚀刻所述改性表面。在一些实施方案中,将所述衬底的表面暴露于所述蚀刻物质还包括引入稀释剂惰性气体,例如氦、氩、氖、氪和氙中的任何一种或者多种。
在多种实施方案中,所述衬底还包括与所述光致抗蚀剂相邻并在其下面的下层,并且优先沉积所述含碳材料,以便不沉积在所述下层的暴露区域上。
在多种实施方案中,当暴露于所述原子层蚀刻时,选择性地沉积在所述光致抗蚀剂上的所述含碳材料的蚀刻速率比所述光致抗蚀剂的蚀刻速率慢。
在多种实施方案中,在原子层蚀刻期间所述光致抗蚀剂的蚀刻速率比所述含碳材料的蚀刻速率快。
在多种实施方案中,所述原子层蚀刻各向异性地去除光致抗蚀剂。
在多种实施方案中,所述第一特征和所述第二特征中的沉积厚度取决于所述第一特征和所述第二特征的深宽比。
在多种实施方案中,通过深紫外光刻来图案化所述光致抗蚀剂。
在多种实施方案中,通过极紫外光刻来图案化所述光致抗蚀剂。
在多种实施方案中,所述光致抗蚀剂通过浸渍光刻来图案化。例如,在一些实施方案中,所述浸渍光刻包括将所述光致抗蚀剂暴露于氟化氩。
在多种实施方案中,将所述含碳材料优先沉积在所述衬底上的所述光致抗蚀剂上还包括引入甲烷。
在多种实施方案中,优先沉积并执行原子层蚀刻循环重复,直到所述第一特征与所述第二特征具有相同的关键尺寸。
另一方面涉及一种处理半导体衬底的方法,该方法包括:提供具有图案化光致抗蚀剂的衬底,所述图案化光致抗蚀剂包括第一特征和第二特征,其中所述第一特征的特征深度小于所述图案化光致抗蚀剂的厚度;在提供所述衬底之后,通过等离子体增强化学气相沉积在所述图案化光致抗蚀剂上沉积含碳材料;以及在沉积所述含碳材料之后,通过使所述衬底上的暴露表面的表面改性以形成改性表面并蚀刻所述改性表面来蚀刻所述衬底。
在多种实施方案中,所述方法还包括在提供所述衬底之前,通过极紫外光刻形成所述图案化光致抗蚀剂。
所述方法还可以包括循环重复所述沉积和所述蚀刻,使得每个循环导致所述第一特征的所述特征深度接近所述图案化光致抗蚀剂的厚度。
在多种实施方案中,该方法在约0℃至约60℃之间的衬底温度下进行。在多种实施方案中,沉积的含碳材料掺杂有掺杂剂,所述掺杂剂诸如来自元素周期表的III、IV、V、VI或VII族的元素。在多种实施方案中,基于期望的蚀刻速率和含碳材料的应力特性来选择掺杂剂。
另一方面涉及一种用于处理半导体衬底的装置,所述装置包括:一个或多个处理室,每个处理室包括卡盘;通向所述处理室内的一个或多个气体入口以及相关的流量控制硬件;等离子体发生器;以及具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且所述存储器存储用于控制所述至少一个处理器以至少通过以下步骤来控制所述流量控制硬件的计算机可执行指令:致使引入硬掩模沉积前体;在引入硬掩模沉积前体之后,致使停止引入所述硬掩模沉积前体;在停止引入所述硬掩模沉积前体之后,致使引入改性气体;以及在引入所述改性气体之后,致使引入惰性气体并产生等离子体,其中在不破坏真空的情况下执行所述硬掩模沉积前体、所述改性气体和所述惰性气体的引入。
在多种实施方案中,硬掩模沉积前体是用于沉积含碳材料的含碳前体。在多种实施方案中,所述装置还包括用于在两个或更多个循环中重复引入所述硬掩模沉积前体、所述改性气体和所述惰性气体的指令。
下面参照附图进一步描述这些和其他方面。
附图说明
图1A和1B分别是光刻之前和之后的衬底的示意图。
图2是对在衬底上的膜进行原子层蚀刻的示例的示意图。
图3是根据公开的实施方案执行的示例性操作的工艺流程图。
图4A-4E是在执行某些公开的实施方案的各个阶段的衬底的示意图。
图5是根据所公开的实施方案执行的示例性操作的工艺流程图。
图6是用于执行某些公开的实施方案的示例性处理室的示意图。
图7是用于执行某些公开的实施方案的示例性处理装置的示意图。
图8是对于沉积技术和根据在实验中执行的某些公开实施方案执行的技术,局部关键尺寸均匀性与蚀刻检查后关键尺寸的关系图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公开的实施方案。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是这并非意在限制所公开的实施方案。
以下公开的实现方式描述了材料在诸如晶片、衬底或其他工件之类的衬底上的沉积。工件可以具有各种形状、尺寸和材料。在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员应理解,术语“部分制造的集成电路”可以指代在其上的集成电路制造的许多阶段中的任何阶段期间的硅晶片。半导体器件工业中使用的晶片或衬底的直径通常为200mm或300mm或450mm。除非另有说明,否则本文所述的处理细节(例如,流量、功率等级等)与处理300mm直径的衬底或配置为处理300mm直径的衬底的处理室有关,并且可以适当缩放以用于其他尺寸的衬底或室。除半导体晶片之外,可与本文公开的实现方式一起使用的其他工件包括各种制品,例如印刷电路板等。所述工艺和装置可以用于制造半导体器件、显示器、LED、光伏面板等。
在半导体加工中对薄膜进行图案化通常是半导体制备和制造中的关键操作。图案化可涉及光刻,例如193nm光刻。用于光刻的堆叠件通常包括通过旋涂法沉积在硬掩模上的光致抗蚀剂层。硬掩模通常由一种组合物制成,并且硬掩模本身沉积在目标层上。在光刻中,图案通过将光子从光子源发射到掩模上来印刷并将图案印刷到光敏性光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,从而除去光致抗蚀剂的某些部分以形成图案。在某些光刻中,可能撞击光致抗蚀剂的光子数量可能会有变化。在许多实践中,大量光子撞击光致抗蚀剂,每个光子携带的能量较少。由于可以使用大量的光子,因此由于某些杂散光子而引起的误差基本上不会影响所得到的限定图案。
随着器件的缩小,对印刷较小特征的需求增加。虽然已经开发了用于与某些光刻一起使用的多种图案化技术,但多重图案化使用多层沉积和蚀刻工艺。先进的半导体集成电路(IC)和其他器件的特征的缩放已经驱动光刻技术来通过移动到更小的成像源波长来提高分辨率。
极紫外(EUV)光刻被认为是扩展光刻分辨率极限的一种潜在技术。已开发出EUV光刻技术,以在尖端光刻工具(也称为扫描仪)中使用波长为约13.5nm的EUV光源在光致抗蚀剂上印刷较小的图案。EUV辐射会在各种材料(包括石英和水)中被强烈吸收,因此在某些情况下会在真空中运行。
在EUV光刻中,随着使用的光子波长越短,从源发射的光子越少,因此撞击光致抗蚀剂以形成图案的光子越少。每个光子所载能量要高于其他一些光刻方法中使用的光子。此外,在EUV光刻中,较少的较高能的光子撞击光致抗蚀剂,因此错失光敏部位的一些杂散光子可能会在定义的图案中引起较大的误差。与使用更多能量较低的光子且一些杂散光子可能基本上不会影响图案的某些光刻方法相比,EUV光刻中由于光子引起的随机效应尤其值得关注。随着器件的缩小,使用更少的光子会导致所形成的特征中具有更高的可变性,因为并非每个形成的特征都必须暴露于相同数量的光子。另外,光子可能不能均匀分散并且可能不致密,因此导致在晶片表面上的特征形成的可变性。光致抗蚀剂还可以不同地吸收光子,从而在光致抗蚀剂的某些区域中形成完整的、明确限定的特征,同时在同一光致抗蚀剂的其他区域中形成部分限定的特征。仅在几纳米的范围(长度范围)内(局部)观察到光子或敏化剂散粒噪声的影响。对于补偿当前的工艺控制方法、方案、工具和算法时,这尤其具有挑战性,因为它们在较大的长度范围(例如,毫米或厘米)上运行。
因此,某些EUV光刻方法会导致不良的边缘粗糙度、扭曲的图案以及不一致的特征尺寸,从而最终可能使衬底无用。不良的边缘粗糙度主要是光致抗蚀剂内部入射光子和吸收的EUV光子随机变化的结果。构成边缘粗糙度问题的其他因素包括光敏剂分子在光致抗蚀剂膜中的随机分布以及溶解在显影剂中并随后在显影过程中被去除的光致抗蚀剂聚合物链长的可变性。在光刻过程之后,这些随机过程可能会在通孔内部导致大量的光致抗蚀剂残留,因此,在使用数百万至数万亿个通孔的高级芯片设计中,可能会对非常大的通孔阵列的开路电故障(收率损失)产生不利影响。
尽管参照图1A和1B描述了EUV光刻,但是应该理解,某些公开的实施方案适合于处理暴露于任何类型的光刻的任何衬底。在一些实施方案中,某些公开的实施方案也可能适用于处理先前通过其他技术蚀刻过的衬底,在所述其他技术中在整个衬底上蚀刻不一致,从而导致部分限定的特征和一些完全限定的特征。
图1A示出了简化的紫外(UV)光掩模,其包括玻璃衬底101、多层镜103和吸收器105,其中发射EUV光子107和109以蚀刻衬底堆叠件中的EUV光致抗蚀剂111,该衬底堆叠件还包括三个硬掩模(相邻的下层113、硬掩模115和硬掩模117)和可以是硅晶片的目标层119。在光刻操作中,由于光子散粒噪声或对光致抗蚀剂膜中暴露辐射的不均匀吸收,相邻的特征可能会收到不同的暴露剂量。因此,由于在EUV光刻中使用的光子更少,所以EUV光子107被描绘为比EUV光子109多。
图1B示出了在光刻操作(进来(incoming)以蚀刻)之后的光致抗蚀剂图案,其表明在图案化的光致抗蚀剂121中,本文中称为第一特征140的随机闭合通孔(即缺陷)比紧邻的在本文中称为第二特征142(具有期望的关键尺寸)的正确图案化的通孔具有更小的关键尺寸。本文所述的特征是指负性特征。应理解的是,在多种实施方案中,第二特征不一定是完全适当地图案化的通孔,而是可以具有足够的特征深度,使得对特征底部的某种蚀刻足以暴露相邻的下层113。
在第二特征142是完全限定的特征的情况下,第二特征142可以具有在约10nm与约100nm之间的关键尺寸。在第二特征142是完全限定的特征的情况下,第二特征142可具有在约1:1与约3:1之间的深宽比。
在多个实施方案中,第一特征140的关键尺寸是在第二特征142的关键尺寸的约30%至约50%内。在多个实施方案中,第一特征140的深宽比是在第二特征142的深宽比的约150%至约250%内。在一些实施方案中,第一特征140的深宽比与第二特征142的深宽比相同。
虽然一些改进的光刻技术涉及增加光子数量以解决使用较少光子的随机问题,但是增加使用的光子数量会增加成本,从而导致经济上不可行的工艺和缓慢的生产量。例如,某些涉及增加光子数量以限定光致抗蚀剂特征的工艺具有每小时约40个晶片的生产量,而经济上可行的技术则要求每小时至少有125个晶片的生产量。
本文提供了消除随机性对电气(开路)产量的影响的方法和装置。方法和装置特别适合于消除由于某些光刻技术(例如EUV光刻)而导致整个衬底上的特征尺寸、深宽比和深度随机变化的随机效应。方法涉及执行硬掩模材料的与深宽比相关的沉积,然后对先前通过光刻技术形成的经部分蚀刻的特征进行与深宽比无关的蚀刻。一些方法涉及执行选择性沉积和循环蚀刻的组合以修补使用EUV光刻不能充分蚀刻的缺陷孔。循环蚀刻的一个示例是原子层蚀刻(ALE),或者在一些实施方案中,是超饱和ALE。在一些实施方案中,选择性沉积可以是选择性碳沉积(SCD)。尽管本文中的实施方案是指在含碳材料上执行某些公开的实施方案并沉积含碳材料,但应理解,在一些实施方案中,方法也可适用于其他非含碳材料。一个非限制性实例包括含硅材料,例如非晶硅或氧化硅。
此外,本文的实施方案不限于修补由EUV光刻形成的缺陷特征。所公开的实施方案可适用于修补深紫外线(DUV)光刻或浸渍光刻或引起缺陷的其他孔图案化工艺中的缺陷特征。本文所述的缺陷指的是对特征的不完全蚀刻,使得蚀刻在衬底上的特征由于随机效应而在深度上变化。缺陷可以是衬底上的经部分蚀刻的特征。在一些实施方案中,缺陷是由随机效应引起的。方法可能适用于由于诸如光栅(线-间隔图案)之类的其他图案的光致抗蚀剂残留而导致的缺陷密度降低。某些公开的实施方案涉及比沉积材料更快地各向异性蚀刻光致抗蚀剂。
本文所述的方法和装置特别适用于形成特征宽度在约15nm和约100nm之间的通孔。在一些实施方案中,使用EUV光刻在光致抗蚀剂中形成这种尺寸的特征导致特征蚀刻中的随机效应,从而导致衬底具有部分限定的特征,并且某些公开的实施方案能够在具有完全限定的和部分限定的衬底上执行,从而减小在与深宽比相关的沉积和与深宽比无关的蚀刻的各种循环中,在完全限定的特征和部分限定的特征之间的特征尺寸的变化。所描述的部分限定的特征是指以下特征,其暴露不足(其可能在正性(positive tone)成像中发生,而暴露过度在负性成像中发生(在显影过程中名义上未暴露的光致抗蚀剂被去除))和/或不完全蚀刻和/或没有与由光刻形成的最大特征的关键尺寸相同的关键尺寸的特征(例如,受到随机影响而导致蚀刻不完全的特征)。部分限定的特征在本文中可以被称为具有“缺陷”或为“缺陷特征”。在多种实施方案中,部分限定的特征可以具有小于由光刻形成的完全限定的特征或最大的特征深度的特征深度。在一些实施方案中,由光刻形成的最大特征与完全限定的特征相同。
本文提供了用于使用与深宽比相关的沉积和定向蚀刻来修复衬底上的光刻限定的特征的方法和装置,以维持关键尺寸,同时减小局部不均匀性。一些方法涉及对具有对下层蚀刻选择性的蚀刻选择性的光刻限定(例如,使用光刻技术(诸如包括EUV和DUV的光学光刻技术或浸渍光刻技术)开发的光刻限定)的硬掩模执行沉积和蚀刻。在一些实施方案中,蚀刻选择层可以增加膜上的应变,使得膜可以使晶片弯曲;结果,在一些实施方案中,这种限制可能会限制可用于某些公开的实施方案的材料。
在多种实施方案中,方法涉及在光刻限定的光致抗蚀剂或硬掩模上选择性地沉积材料,其中该材料具有与光致抗蚀剂或硬掩模的分子结构不同的分子结构。例如,在一些实施方案中,光刻限定的光致抗蚀剂是旋涂碳,而沉积在光致抗蚀剂上的材料包括通过等离子体增强化学气相沉积(PECVD)沉积的碳。在多种实施方案中,所沉积的材料被热沉积。在多种实施方案中,使用等离子体沉积材料。在多种实施方案中,使用非保形沉积技术来沉积材料。例如,该材料可以不通过原子层沉积来沉积。当执行蚀刻以维持整个晶片上的特征的关键尺寸时,保形沉积不太可能比在特征上选择性地在场区域上沉积更多的材料,以使特征尺寸均匀。
所公开的实施方案涉及原子层蚀刻和选择性材料沉积,以提高图案保真度并减小最终将被转移至目标层的光致抗蚀剂(PR)结构的缺陷密度。在高级EUV光刻中,光致抗蚀剂图案的保真度和缺陷密度都会因与随机性(光子散粒噪声、材料不均匀性)相关的问题而降低。
某些公开的实施方案使得能在图案转移操作期间减少缺陷,这将始终导致改善的电路产率。这种缺陷密度的降低与提高的线路边缘或线路宽度粗糙度同时实现,而线路边缘或线路宽度粗糙度是电路功能(和产率)的关键因素。
方法涉及循环蚀刻(诸如ALE)和沉积以蚀刻和修补光致抗蚀剂或硬掩模材料(例如含碳材料)的特征。可以使用公开的实施方案蚀刻和/或修补的示例性含碳材料包括光致抗蚀剂和无定形碳。方法涉及取决于深宽比的沉积工艺。例如,可以通过化学气相沉积或等离子体增强化学气相沉积来执行沉积。不使用原子层沉积或等离子体增强的原子层沉积执行沉积,因为这种沉积是保形的且与深宽比无关。在一些实施方案中,使用等离子体辅助工艺来执行沉积,例如使用等离子体增强化学气相沉积来执行沉积。在多种实施方案中,公开的实施方案不在衬底的下层上沉积含碳膜。例如,在一些实施方案中,选择性沉积包括在光刻限定的光致抗蚀剂或硬掩模上沉积材料,硬掩模对硬掩模下的相邻的下层有选择性,而硬掩模可以包括特征,使得特征的底部包括暴露的相邻的下层表面,选择性沉积相对于相邻的下层表面选择性地在光刻限定的光致抗蚀剂或硬掩模材料上进行沉积。在一些实施方案中,沉积工艺沉积当暴露于某些蚀刻工艺时具有比光致抗蚀剂的蚀刻速率慢的蚀刻速率的材料的膜。本文所用的选择性定义为在衬底的一个区域上沉积的材料比在衬底的另一区域上沉积的材料更多。在一些实施方案中,术语“选择性”和“优先”可以互换使用,均表示在一个区域上沉积的材料比在另一区域中沉积的材料更多。在多种实施方案中,实现了选择性沉积,由此,与在光致抗蚀剂或含碳材料的侧壁上相比,在光致抗蚀剂或含碳材料的场区域上沉积的膜更多。
ALE是使用顺序的自限反应除去材料薄层的技术。一般而言,可使用任何合适的技术执行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8,883,028、2014年8月19日公告的美国专利No.8,808,561和2017年2月21日公告的美国专利No.9,576,811中进行了描述,这些专利在此通过引用并入本文,以用于描述示例性原子层蚀刻和蚀刻技术的目的。在多个实施方案中,ALE可以利用等离子体进行,或者可以利用热方式进行。
ALE可以循环进行。“ALE循环”的构思与本文的多个实施方案的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的改性操作,随后是仅除去或蚀刻此改性层的除去操作。该循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应物气体(吸附),(ii)从室清扫反应物气体,(iii)输送除去气体和任选的等离子体(解吸),以及(iv)清扫室。
在一些实施方案中,可以执行超饱和ALE。在超饱和ALE中,用于吸附到衬底表面上和/或使衬底表面改性的反应剂气体的输送执行的时间长于足以充分吸附衬底表面或使衬底表面改性的时间。在一些实施方案中,持续时间比足以吸收至少80%或使至少80%的衬底表面改性的持续时间长至少1.5倍或至少2倍或至少5倍。对于通过PECVD沉积的碳的超饱和ALE,可将衬底暴露于含氧的改性气体中持续至少约1秒的持续时间。应当理解,对于超饱和ALE而言,足够的暴露持续时间取决于多种因素,包括在衬底上的被改性或吸附的材料,用于吸附或改性衬底的反应剂气体,工艺条件,如温度和压强,以及衬底本身的包括衬底上的深度、尺寸和特征数量的形貌。
图2示出了ALE循环的两个示例性示意图和选择性聚合物沉积的示意图。图201a-201e示出了一示例性的ALE循环。在201a中,提供了衬底。
在多种实施方案中,所述衬底可以是硅晶片,例如,200mm的晶片、300mm的晶片或450mm的晶片,包括具有一个或多个材料层的晶片,该材料例如沉积在其上的电介质、导体材料的或半导体材料。在一些实施方案中,所述衬底包括硅(例如无定形硅)的覆盖层,或锗的覆盖层。在一些实施方案中,衬底表面包括光致抗蚀剂、或石墨烯、或无定形碳。
在一些实施方案中,衬底上的层可以被图案化。衬底可具有“特征”,例如通孔或接触孔,其可表征为一个或多个狭窄的和/或内凹的(re-entrant)开口、特征内收缩部和高深宽比。所述特征可以在一个或多个上述层中形成。特征的一个示例是半导体衬底或该衬底上的层中的孔或通孔。另一个示例是衬底或层中的线或空间限定的沟槽。在多个实施方案中,所述特征可以具有下层,例如阻挡层或粘合层。下层的非限制性实施例包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。在一些实施方案中,衬底的表面可以包括多于一种类型的材料,例如如果衬底被图案化的话。衬底包括至少一种待使用所公开的实施方案蚀刻和平滑化的材料。该材料可以是上述金属、电介质、半导体材料等中的任何一种。在多种实施方案中,可以制备这些材料以用于制造触点、通孔、栅极等。在一些实施方案中,待蚀刻的材料是硬掩模材料,例如无定形碳。另外的示例性材料包括氮化铝镓、硅、氮化镓、钨和钴。
在多种实施方案中,衬底包括具有一个或多个特征的图案化光致抗蚀剂层,该一个或多个特征由EUV或DUV或浸渍光刻形成。这些特征是负特征;即在图案化的光致抗蚀剂层中的孔。
在201b中,使衬底的表面改性。在201c中,在用以除去多余的非吸附前体的清扫操作之后,改性层保留。在201d中,改性层被蚀刻。在201e中,除去改性层。
类似地,图202a-202e示出了用于蚀刻含碳膜的ALE循环的示例。在202a中,提供了包含含碳材料的衬底,其包含许多碳原子。在多种实施方案中,衬底包括含碳层,例如光致抗蚀剂或无定形碳层。
在202b中,将氧化剂引入衬底,其使衬底的表面改性。氧化剂可以是强氧化剂,例如氧(O2),或弱氧化剂,如二氧化碳(CO2)。氧化剂的选择可能取决于衬底上的含碳材料的类型。例如,在一些实施方案中,强氧化剂可以是适于蚀刻硬的含碳材料(如无定形碳或石墨烯)的氧化剂。在另一示例中,在一些实施方案中,弱氧化剂可以是适于蚀刻通过EUV光刻、DUV光刻或浸渍光刻图案化的光致抗蚀剂的氧化剂。
例如,202b中的示意图示出了一些氧化剂被吸附到衬底的表面上。改性操作形成薄的反应性表面层,其厚度在随后的除去操作中比未改性材料更容易除去。为了蚀刻含碳材料,可以在改性或吸附操作期间使用含氧等离子体。含氧等离子体可以通过使含氧改性化学物质(例如氧(O2))或弱氧化剂(如二氧化碳(CO2))流动并点燃等离子体而产生。其他弱氧化剂包括一氧化碳(CO)、氮氧化物(NO)和二氧化硫(SO2)。另外的反应物可以包括氮、氢和氨化合物以及可以与抗蚀剂表面反应地结合并随后使用亚溅射阈值离子轰击挥发的物质。这些强和弱氧化剂可以单独或组合使用,包括与稀释惰性气体(如氦(He)、氩(Ar)、氖(Ne)、氪(Kr)、氙(Xe)及其组合)一起使用。该操作使几埃厚的含碳材料表面改性,以形成比主体含碳材料具有较弱的键能的改性层。在多种实施方案中,将弱氧化剂作为无偏置或有低偏置的等离子体提供给衬底。例如,在多种实施方案中,将弱氧化剂引入等离子体处理室,并且打开等离子体源功率以点燃等离子体,从而促进弱氧化剂吸附到含碳材料的表面上。该偏压可以以低功率或电压(例如约5V至约15V或高达约50V之间的自偏置)施加。等离子体功率可设定在约15W至约300W之间的功率。应理解的是,术语“偏置功率”和“偏置电压”在本文中可互换使用以描述当偏置施加到基座时基座被设置的电压。如本文所述的偏置功率或偏压以伏特进行计量,伏特是由单位“V”或“Vb”表示,其中b指偏置。
在202c中,从室清扫弱氧化剂。在202d中,引入含有方向性等离子体的除去气体氩气,如Ar+等离子体物质和箭头所示,并进行离子轰击以除去衬底的改性的碳表面。在该操作过程中,将偏置施加在衬底上以吸引离子朝向它。在解吸操作中,可以使用惰性气体等离子体(例如He、Ar、Xe或N2)来除去改性层。尽管在202d中描绘了氩气,但是应当理解,可以使用任何合适的惰性气体来产生用于该操作的等离子体。在多种实施方案中,在移除期间施加的偏置功率可以介于约30V至约100V之间。可以选择偏置功率使得提供给衬底的能量小于溅射衬底所需的能量,但大于用于从衬底除去改性层的能量。等离子体功率可以设定在约30W至约500W之间的功率。
在202e中,清扫室并除去副产物。在多种实施方案中,可以在一个循环中除去介于约和约之间的材料。如果使用较强的氧化剂,则蚀刻速率可能会大于使用较弱的氧化剂时的蚀刻速率。例如,对于强氧化剂如氧气(O2),而惰性等离子体气体可以是Ar,且可以除去约至约的抗蚀剂材料。在一些实施方案中,如果使用的弱氧化剂是二氧化碳,并且用于除去改性层的惰性气体等离子体是氦气,则每个循环可以蚀刻介于约到之间的材料。含碳材料的后蚀刻表面通常在ALE处理后是平滑的。例如,在一些实施方案中,ALE处理之后的表面的均方根粗糙度可以小于约0.5nm(Rrms<0.5nm)。
虽然在某些实施方案中可以使用上述工艺条件和化学物质,但是某些实施方案会涉及不同类型的光致抗蚀剂,例如含金属的化学放大光致抗蚀剂(CARs)或金属氧化物无机材料,并且还可以包括选择性沉积在下层上,例如在无机材料、金属、电介质、金属氧化物和其他合适的材料上。这些不同的实施方案可以利用更宽范围的化学物质或气体以及诸如偏置、温度、压强、脉冲等处理条件。对这样的工艺条件进行调制使得能有效地减轻缺陷,改善图案保真度以及使结构平滑。
图3示出了用于执行某些公开的实施方案的工艺流程图。在操作310中,在第一硬掩模材料上执行光刻以在第一硬掩模材料中形成第一部分形成的特征和第二特征。在多种实施方案中,第一硬掩模是被处理的衬底的最顶层。在多种实施方案中,在提供给处理室的具有第一硬掩模材料的衬底上执行光刻。第一硬掩模可以是含碳、含硅或含锡的材料。在一些实施方案中,第一硬掩模是含碳材料,例如非晶碳或旋涂碳,或者可以是光致抗蚀剂。在一些实施方案中,第一硬掩模是含硅材料,例如非晶硅。在一些实施方案中,第一硬掩模是含锡材料,例如氧化锡或氮化锡。尽管第一硬掩模材料被称为“硬掩模材料”,但是应当理解,在许多实施方案中,第一硬掩模材料是光致抗蚀剂。
在多种实施方案中,完全形成的特征具有在约15nm和约100nm之间的特征开口宽度。
在多种实施方案中,第一硬掩模是包括通过旋涂技术沉积的碳的光致抗蚀剂,并且在第一硬掩模上执行EUV、DUV或浸渍光刻。
可以通过旋涂技术沉积第一硬掩模。在光刻之前,可以在光刻堆叠件中的一个或多个层上沉积第一硬掩模。光刻堆叠件的一个或多个层可以包括在第一硬掩模下方的一个或多个硬掩模。在一些实施方案中,第一硬掩模是使用EUV或DUV蚀刻的光致抗蚀剂,并且在EUV或DUV之前,被沉积在具有一个或多个下面的硬掩模的衬底上。在一些实施方案中,第一硬掩模是光刻堆叠件中的层。
例如,紧邻第一硬掩模层并在其下面的层可以具有与第一硬掩模相同的组成。该层在本文中被称为“相邻的下层”。相邻的下层可以具有与第一硬掩模的组成不同的组成。在一些实施方案中,紧邻第一硬掩模层并在其下面的层都可以包含碳,但是可以通过不同的技术来沉积。在一些实施方案中,相邻的下层是氧氮化硅、或含硅抗反射涂层(SiARC)、或旋涂玻璃,或底部抗反射涂层(BARC)。在多种实施方案中,相邻的下层是含锡膜,例如氧化锡或氮化锡或硫化锡。在一些实施方案中,相邻的下层包括氧化铅、或氮化铅、或硫化铅、或其组合。在相邻的下层是氧化锡的情况下,由于定向蚀刻,可以在每个循环(其可以在同一室或同一工具中执行,或者在不破坏真空的情况下执行)中沉积较少的如下面关于操作312所述的第二硬掩模材料,因为可以在不破坏已经具有所需深度和/或深宽比的特征中的相邻的氧化锡下层的情况下进行定向蚀刻,从而实现蚀刻选择性,同时继续蚀刻部分限定的特征,直到这些特征与在衬底上的其他特征具有相同的深度和/或深宽比,或者直到整个晶片上的特征的深度和/或深宽比的变化减小。
当暴露于某些蚀刻化学物质(例如含氧气体和/或等离子体,或含卤素气体和/或等离子体)时,相邻的下层可对第一硬掩模具有蚀刻选择性。例如,在一些实施方案中,第二硬掩模材料的蚀刻速度比相邻的下层的蚀刻速度至少快三倍。在一些实施方案中,第一硬掩模材料的蚀刻速度比相邻的下层的蚀刻速度至少快三倍。应理解的是,在某些公开的实施方案中,可以根据所使用的蚀刻化学和工艺条件,以及取决于用于第一硬掩模,第二硬掩模和相邻的下层的材料来调节蚀刻速率比。
相对于第一硬掩模层而言,相邻的下层是否具有蚀刻选择性,对于执行以下针对操作314进一步描述的定向蚀刻具有影响。在一些实施方案中,对于相邻的下层使用特定的蚀刻选择性材料可能导致衬底上的应力增加,因此权衡由用于沉积此类膜的沉积技术以及所沉积的膜的厚度所导致的对相邻的下层或第一硬掩模的应力的影响与用于修补如本文所述的缺陷的蚀刻操作和沉积操作的影响之间的关系。一些方法涉及SCD和ALE的组合。在多种实施方案中,已经进行了光刻蚀刻的衬底可以包括部分边缘化特征以及经完全蚀刻的特征。在图1B中描绘了经过光刻蚀刻的衬底的示例性示意图。在多种实施方案中,通过EUV光刻对衬底进行光刻蚀刻或光刻限定。在一些实施方案中,通过DUV光刻对衬底进行光刻蚀刻或光刻限定。
在操作312中,以与深宽比相关的沉积技术将第二硬掩模材料沉积在第一硬掩模材料上。在某些情况下,通过质量扩散技术执行沉积。例如,在一些实施方案中,通过等离子体增强化学气相沉积来沉积第二硬掩模。在许多实施方案中,第二硬掩模不通过保形膜沉积技术(例如原子层沉积或等离子体增强的原子层沉积)沉积。使用与深宽比相关的沉积技术进行沉积,该沉积技术可以在具有较大开口的特征中沉积较多的材料,而在具有较小开口的特征中沉积较少的材料。在一些实施方案中,特征是竖直的并且具有侧壁和底部以及衬底上的特征之间的场区域。在多种实施方案中,在这样的特征上的第二硬掩模的沉积导致在侧壁上的一些沉积,但是主要在衬底的底部和场区域处的沉积。在一些情况下,在本文中这被称为与衬底的竖直表面(诸如特征的侧壁)相比优先在衬底的水平表面上沉积较多的第二硬掩模材料。
在多种实施方案中,第二硬掩模与第一硬掩模具有相同的组成。在一些实施方案中,第二硬掩模与第一硬掩模具有不同的组成。在一些实施方案中,第二硬掩模和第一硬掩模均包括含碳材料。在一些实施方案中,第二硬掩模和第一硬掩模包括含硅材料。在一些实施方案中,第二硬掩模材料是含硅材料,例如二氧化硅或氮化硅。在一些实施方案中,第二硬掩模与第一硬掩模具有不同的晶格结构。例如,在一些实施方案中,第二硬掩模材料和第一硬掩模均包含碳,但是通过旋涂技术沉积第一硬掩模材料,从而导致其晶格结构不同于用于第二硬掩模的PECVD沉积的碳材料的晶格结构。在一些实施方案中,第二硬掩模和第一硬掩模包括含锡材料。
在一些实施方案中,第二硬掩模材料是非晶碳材料。在一些实施方案中,第二硬掩模材料是掺杂有元素周期表的III、IV、V、VI或VII族的任何合适元素(例如氧、氮、氟、硅、锡及其组合)的非晶碳。非晶碳可以掺杂在约0.1%和约50%之间。在一些实施方案中,少量的掺杂剂可以改变经掺杂的非晶碳膜的蚀刻速率和应力。可以选择所使用的一种和多种掺杂剂的量以调节第二硬掩模材料的期望蚀刻速率和应力。
在多个实施方案中,第二硬掩模和第一硬掩模可以包括相同的原子,但是具有不同的分子结构,例如不同的晶格结构。例如,在一些实施方案中,第一硬掩模是旋涂碳,而第二硬掩模是等离子体增强化学气相沉积的非晶碳。
在一些实施方案中,第二硬掩模是氧化锡。应理解的是,在一些实施方案中,第二硬掩模不一定是化学计量的膜。例如,在某些情况下,膜是亚化学计量的。例如,含锡的氧化物膜可以是氧化锡或二氧化锡,其可以包括约0.45至约1.05的锡比氧的原子比。在一些实施方案中,可去除膜是具有化学结构SnO的氧化锡(II)。在一些实施方案中,可去除膜是具有化学结构SnO2的氧化锡(IV)。合适的含锡前体的示例包括卤化的含锡前体(例如氯化锡(II)(SnCl4)和溴化锡(II)(SnBr4))和非卤化的含锡前体,例如有机锡化合物,它们包括烷基取代的锡酰胺等。适用于ALD的烷基取代的锡酰胺的具体示例包括四(二甲基氨基)锡、四(乙基甲基氨基)锡,N2,N3-二叔丁基丁烷-2,3-二氨基-锡(II)和1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡烷-2-亚基((1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidine)。含氧反应物包括但不限于氧(O2)、臭氧(O3)、水(H2O)、过氧化氢(H2O2)和一氧化氮(NO)。
第二硬掩模材料可以通过用于进行与深宽比相关的沉积的任何适当技术来沉积。例如,在PECVD中,可以通过将第一硬掩模材料暴露于含碳前体和还原剂以沉积碳来沉积第二硬掩模材料。例如,一种示例性的含碳前体是甲烷。
在一些实施方案中,第二硬掩模材料可以是含硅材料,例如氧化硅、氮化硅或另一种含硅材料。在多种实施方案中,可以使用含硅前体来沉积这样的膜。例如,在一个实例中,可通过使用含硅前体(例如氯化硅或任何其他合适的硅烷,包括硅烷、乙硅烷、烷基硅烷、氯硅烷、溴硅烷和碘硅烷)来执行氧化硅材料的PECVD。“氧化硅”在本文中被称为包括SixOy的任何和所有化学计量的可能性,包括整数值x和y与非整数值x和y。例如,“氧化硅”包括具有式SiOn的化合物,其中1<n<2,其中n可以是整数或非整数值。“氧化硅”可以包括亚化学计量的化合物,例如SiO1.8。“氧化硅”还包括二氧化硅(SiO2)和一氧化硅(SiO)。“氧化硅”还包括天然和合成变体两者,并且还包括任何和所有晶体和分子结构,该结构包括围绕中心硅原子的氧原子的四面体配位。“氧化硅”还包括非晶态氧化硅和硅酸盐。
图4A至图4D是经历本文所述的各种操作的示例性衬底的示意图。在图4A中,提供了诸如图1B所示的衬底之类的衬底。衬底包括第一硬掩模材料421,该第一硬掩模材料421被光刻限定以形成第二特征440和第一特征442。光刻图案化的第一硬掩模材料431可以在一个或多个层之上,所述一个或多个层包括相邻的下层413、下层415、下层417和目标层419。
在该示例中,第二特征440是具有期望的深宽比和关键尺寸的完全限定的特征。在一些实施方案中,第二特征440具有在约15nm与约100nm之间的特征开口宽度。
第一特征442是部分限定的特征,由于光刻技术和随机效应,该部分限定的特征未被充分蚀刻,其深宽比和/或深度小于期望的深宽比和/或深度。在多个实施方案中,第一特征442在第一特征442的底部包括第一硬掩模材料。应理解的是,衬底可以包括许多特征,每个特征可以具有各种深度、深宽比和关键尺寸,并且其中的一些特征如第二特征440所示被完全限定。还应理解,完全限定的特征可以与其他完全限定的特征相邻或不相邻。在一些实施方案中,整个衬底上缺陷特征的存在可以是随机的。
在第一硬掩模材料421上在沉积或蚀刻之前,并且在光刻限定特征之后,第一特征442的深宽比可以在第二特征440的深宽比的约1%至约10%之内。在一些实施方案中,在第一硬掩模材料421上在沉积或蚀刻之前,并且在光刻限定特征之后,第一特征442的关键尺寸在第二特征440的关键尺寸的约0.5%至约1%之内。
执行诸如PECVD之类的与深宽比有关的沉积,其中在光致抗蚀剂图案上沉积第二硬掩模材料422(例如,含碳(C)材料),从而在第一硬掩模材料421(例如光致抗蚀剂图案)的场表面(标记为厚度o)的顶部上的厚度(如箭头422a所示)大于在侧壁上沉积的第二硬掩模材料422的厚度(如箭头422b所示)。在一些实施方案中,已经经历图3的操作312的膜导致与图4A的结构类似的结构。在多种实施方案中,第二硬掩模材料422是碳硬掩模。在多种公开的实施方案中,(部分由于与深宽比有关的沉积速率)标记为厚度ni的较薄或较少的第二硬掩模材料422沉积在诸如第一特征442之类的较小孔中,而标记厚度mi的较多或较厚的第二硬掩模材料422沉积在例如第二特征440之类的较大的孔中。另外,请注意,在某些工艺条件下,在特征的底部没有第二硬掩模材料沉积(例如,ni和/或mi为0)。在多种实施方案中,沉积在特征的底部的第二硬掩模材料的厚度比顶部薄得多(即,mi小于o,和/或ni小于o)。
在多种实施方案中,在沉积之后并且在蚀刻之前,厚度o在约2nm与约10nm之间。在多种实施方案中,mi可以在约1nm与约5nm之间。在多种实施方案中,ni可以在约0nm与约2nm之间。如果膜在一个循环中沉积,提供这些示例性的厚度作为厚度的示例,该循环由操作312的一个操作定义。
如上所述,在一些实施方案中,对于不同类型的第一硬掩模材料421,用于沉积的处理条件和气体可以变化。可以调整沉积工艺条件,使得在孔的底部没有净沉积的第二硬掩模材料的厚度,从而实现选择性或优先沉积。蚀刻选择性可以取决于所沉积的材料,因此用于沉积第二硬掩模材料的不同技术可以导致不同的蚀刻选择性。可以调节沉积工艺条件以相对于诸如有机光致抗蚀剂之类的第一硬掩模材料以及在EUV和/或DUV波长范围内具有光敏性的其他配方的光致抗蚀剂材料来调节所沉积的第二硬掩模材料的蚀刻速率。在一示例中,可通过将衬底暴露于含碳化学物质例如甲烷(CH4)来执行沉积,使得碳材料优先沉积在衬底的特定表面上。本文所述的优先沉积或选择性沉积是指几何偏好-也就是说,相对于同一衬底上在衬底的不同区域(例如,特征顶部或底部的几何位置)的另一个表面,在一个表面上沉积较多。尽管将甲烷描绘为示例,但可以使用其它含碳化学物质,其可以具有化学式CxHy,其中x和y是大于或等于1的整数。在一些实施方案中,优先沉积含碳的硬第二掩模材料可以被称为“选择性碳沉积”或“SCD”,如本文所使用的。选择性碳沉积可以以低偏置(例如,自偏置功率=约5V至约15V)和在约30W至约500W的范围内的低RF等离子体功率进行。在一些实施方案中,含碳化学物质可以与一种或多种稀释剂组合以产生等离子体。示例性稀释剂包括氮、氦、氩、氢及其组合。
回到图3,在操作314中,对衬底进行定向蚀刻。在一些实施方案中,在操作314期间蚀刻第二硬掩模材料。在蚀刻期间,在一些实施方案中,第二硬掩模材料保护第一硬掩模材料的场区域。在多种实施方案中,可以使用ALE执行定向蚀刻。在多种实施方案中,施加偏置以使得能定向地执行蚀刻。如上所述,ALE的一个循环包括吸附改性气体以改性衬底的表面,然后暴露于去除气体以去除改性的表面。在一些实施方案中,在改性和移除之间清扫室。在一些实施方案中,在改性和去除操作中的至少一个期间点燃等离子体。在多种实施方案中,操作314的一个示例涉及执行ALE的一个循环。在多种实施方案中,操作314的一个实例涉及执行一个以上的ALE循环。
如上所述,在一些实施方案中,可执行超饱和ALE。例如,如果可以使第一蚀刻剂在衬底的表面饱和以在约x秒(例如约1秒)内使衬底表面改性,则超饱和ALE可以涉及将衬底暴露于第一蚀刻剂中持续至少2x的持续时间(例如,是足以使ALE中的表面饱和的持续时间的至少两倍),或3x,或10x或更长的持续时间。在多种实施方案中,ALE是自限性的;即,在单个循环中仅去除已改性的部分。因此,在某些超饱和ALE工艺中,可以在单个循环中去除约至约的材料。在非超饱和ALE的一些实施方案中,在单个循环中除去约或更少或约单层的材料。在一些实施方案中,超饱和ALE可在一个循环中蚀刻约5nm至约10nm的材料。
基于被蚀刻的材料选择改性气体和去除气体化学物质。在某些公开的实施方案中,由于第二硬掩模材料的沉积甚至在特征的底部处形成第二硬掩模材料,并且沉积在第一硬掩模上执行,因此需要蚀刻以实现与期望的特征深度和深宽比相同的特征深度和深宽比,改性气体和去除气体化学物质能够蚀刻第二硬掩模材料和第一硬掩模材料两者。以与深宽比无关的方法执行蚀刻,从而在所有特征中均等地执行ALE。然而,由于沉积与深宽比相关,因此,根据某些公开的实施方案,以与深宽比无关的技术蚀刻特征并循环进行沉积和蚀刻,导致形成具有尺寸变化减小和深宽比变化减小的特征的衬底,使得这些特征在整个衬底上变得越来越接近相同的尺寸。更具体地,修改衬底的关键尺寸分布上的最小和最大关键尺寸(例如,分布的远尾,例如6σ),使得分布的扩散被显著减小或消除。
图4B至图4D示出了经受某些公开实施方案的操作的示例性衬底。图4B示出了具有目标层419、下层417、下层415、相邻的下层413、图案化的第一硬掩模层421和第二硬掩模材料432的衬底,该衬底已经通过ALE定向蚀刻。在多种实施方案中,这是已经经历图3的操作314的衬底的示例。虚线422l描绘了在蚀刻之前第二硬掩模层432的厚度o,而以实线描绘的第二硬掩模层432示出了在通过ALE蚀刻之后,第二硬掩模材料432的厚度。在第二特征450的底部450b处的膜的厚度mi是ALE之前在第二特征450的底部450b处的厚度,而在第二特征450的底部452b处的膜的厚度mj是在执行ALE之后的厚度。同样,在第一特征452的底部452b处的膜的厚度ni是ALE之前的厚度,而厚度nj是ALE之后的厚度。应理解的是,在多种实施方案中,mi-mj近似等于ni-nj。
在多种实施方案中,mj可以比沉积和蚀刻的一个循环之后的一个循环之前的厚度小约0.1nm至约0.5nm。在多种实施方案中,nj可以比沉积和蚀刻的一个循环之后的一个循环之前的厚度小约0nm至约0.2nm。
如示例中所示,由于第二特征450(在深宽比和特征宽度或关键尺寸方面都)比第一特征452大,所以使用诸如ALE之类的与深宽比无关的蚀刻技术的蚀刻在第二特征450的底部和第一特征452的底部都去除相同厚度的材料。然而,在沉积和蚀刻的循环过程中,第二特征450的厚度大于第一特征452中的厚度,从而最终,在第一特征452的底部452b处的第二硬掩模材料432将被完全去除,并且因此每个循环的蚀刻和沉积蚀刻第一硬掩模材料421,从而将厚度z减小到接近0。
返回图3,在操作316中,循环重复操作312和314,以最终去除位于第一特征中的第二硬掩模材料下面的第一硬掩模材料,从而减小特征尺寸的变化,包括减小深宽比和/或特征深度和/或关键尺寸的变化。在多种实施方案中,操作312和314在相同的工具或在相同的室中或在不破坏真空的情况执行。在多种实施方案中,操作312和314的重复操作导致一些实施方案,其中在操作314期间,被蚀刻的衬底上的材料是第一特征中的第一硬掩模材料。在一些实施方案中,重复操作312和314,直到第一和第二特征的平均关键尺寸为至少约15nm。在一些实施方案中,重复操作312和314,直到第一特征的关键尺寸和第二特征的关键尺寸之间的差小于在一些实施方案中,循环重复操作312和314,以去除第一特征的底部处的第一硬掩模材料,从而暴露相邻的下层。在一些实施方案中,循环重复操作312和314,直到蚀刻第一硬掩模材料上的所有特征穿过第一硬掩模材料的厚度为止。
一个循环涉及第二硬掩模材料的沉积和定向蚀刻的一个操作。在一些实施方案中,一个循环涉及第二硬掩模材料的沉积和一个循环的ALE。即,重复操作312和314的一个循环涉及以下操作:将衬底暴露于第二硬掩模材料前体和反应物,将衬底暴露于改性气体持续至少足以充分吸附到衬底的暴露表面上的持续时间,以及将衬底暴露于去除气体。在另一示例中,重复操作312和314的一个循环涉及以下操作:将衬底暴露于第二硬掩模材料前体和反应物;将衬底暴露于改性气体持续大于足以充分吸附到衬底的暴露表面上的持续时间的持续时间,以及将衬底暴露于去除气体。在另一示例中,重复操作312和314的一个循环涉及以下操作:将衬底暴露于第二硬掩模材料前体和反应物,将衬底暴露于改性气体持续至少足以充分吸附到衬底的暴露表面的时间,对容纳衬底的室进行清扫,将衬底暴露于去除气体并清扫室。在另一示例中,重复操作312和314的一个循环涉及以下操作:将衬底暴露于第二硬掩模材料前体和反应物,对容纳衬底的室进行清扫,将衬底暴露于改性气体持续大于足以充分吸附到衬底的暴露表面上的持续时间的持续时间,将衬底暴露于去除气体并清扫室。在一些实施方案中,在暴露于改性气体和暴露于去除气体中的至少一种期间点燃等离子体。
在一示例中,重复操作312和314的一个循环涉及以下操作:将衬底暴露于甲烷以便以与深宽比相关的方式(例如PECVD)沉积含碳膜,将衬底暴露于含氧改性气体持续大于足以充分吸附到衬底的暴露表面上的持续时间的持续时间,并且使衬底暴露于去除气体。
图4C示出了已经历某些公开实施方案的一个或多个循环的衬底的示例。虚线422l示出了在蚀刻之前来自图4A的第二硬掩模材料的厚度。与图4B相比,已经蚀刻了第二硬掩模材料442,使得在第二特征460的底部460b处没有第二硬掩模材料442,并且在第一特征462的底部462b同样没有第二硬掩模材料442。在一些实施方案中,当在第一特征462的底部没有第二硬掩模材料时,在第二特征460的底部仍可能存在一些第二硬掩模材料442。在该示例中,第一硬掩模材料421还没有被蚀刻,由于第二特征460和第一特征462之间的特征深度的差仍然是z。
图4D示出了图4C的衬底的在多个循环的沉积和蚀刻之后使得现在在第一特征472的底部472b处第一硬掩模材料431被蚀刻的示例。注意,第二特征470和第一特征472之间的用z表示的先前的厚度差已经减小了x,导致第二特征470和第一特征472之间的厚度差z-x。当执行多个循环的沉积和蚀刻时,x接近z,使得z接近0,因此减小了特征深度的变化,并且第一特征472的特征深度接近第二特征470的特征深度。如图所示,在衬底的场区域上仍然有一定厚度的第二硬掩模材料443。虽然示意图示出了第二特征470和第一特征472的侧壁上的一些第二硬掩模材料443,但是应当理解,在一些实施方案中,侧壁上的第二硬掩模材料443也可以被蚀刻。在一些实施方案中,在不使用偏置的情况下蚀刻更可能蚀刻在侧壁443s上的第二硬掩模材料443。在一些实施方案中,在侧壁443s上的一些剩余的第二硬掩模材料443可以是可容忍的,只要第一特征472被充分蚀刻到与第二硬掩模443相同的特征深度即可。在多种实施方案中,工艺条件以及沉积和蚀刻可以调制以调整第一特征472和第二特征470的轮廓,使得第一特征472的深宽比接近第二特征470的深宽比。例如,其中蚀刻涉及施加偏置的沉积和蚀刻循环的组合以及其中蚀刻不涉及施加偏置的沉积和蚀刻循环的组合可以用于将第一特征472蚀刻到期望的深度,同时也可以修整第一特征472的侧壁使得重复的循环以及这种循环的整体组合蚀刻第一特征472至具有与第二特征470的深宽比相似的深宽比。
重复的沉积和蚀刻循环将不会蚀刻相邻的下层413,因为在每个循环中的沉积使得能在第二特征470中形成比在第一特征472中更厚的第二硬掩模材料,并且随后的由于与宽高比无关的蚀刻而在第二特征470和第一特征472两者中蚀刻相同的量的定向蚀刻因此蚀刻第二特征470中的第二硬掩模材料,同时蚀刻第一特征472中的一些第二硬掩模材料,而且蚀刻第一硬掩模材料。在一些实施方案中,相邻的下层413相对于第一和第二硬掩模材料具有蚀刻选择性,因此即使在第二特征的底部的表面上没有第二硬掩模材料,重复的蚀刻和沉积循环也不会使相邻的下层413的表面退化。
在某些公开的实施方案中,可以执行多个循环的第二硬掩模材料的选择性沉积和衬底的ALE,以最终从部分限定的特征的侧壁和底部完全去除第一硬掩模材料,以获得期望的特征规格和在整个衬底上实现各种特征的一致的深宽比和特征深度。即,可以执行选择性沉积和ALE的循环,以使得完全限定的特征的特征深度与部分限定的特征的特征深度之间的差接近0。在一些实施方案中,可以进行选择性沉积和ALE的循环,以使得完全限定的特征的特征深宽比与部分限定的特征的特征深宽比之间的差接近0。由于在ALE期间,沉积的含碳材料和光致抗蚀剂之间的蚀刻速率差异,较小孔的关键尺寸会增大,并逐渐靠近较大孔。而且,在ALE期间精确地控制了相对于光致抗蚀剂的所沉积的含碳材料去除量。ALE的一个特征是自限制材料去除速率,该自限制材料去除速率通常导致蚀刻速率与结构深宽比(高宽比)无关。
在该示例中,关注的结构是光致抗蚀剂中的光刻限定的孔图案,无论是将其完全清除(光致抗蚀剂显影掉)到底部,还是仅部分清除,部分清除被认为是缺陷,因此是不希望有的。ALE操作的工艺条件可能会根据光致抗蚀剂的类型而变化。上面相对于图2和3提供了示例性的工艺条件。通常,以循环方式重复上述两个操作(图3的操作312和314),直到较小的(有缺陷的)孔的光致抗蚀剂残留物被完全清除并且获得与限定良好的孔的关键尺寸较接近的关键尺寸为止,如图4A-4D所示。调整ALE期间的蚀刻条件以实现与第二硬掩模材料(例如含SCD碳材料和/或第一硬掩模材料,例如光致抗蚀剂)的蚀刻速率相比,相邻的下层(如上所述,其可以是有机的,例如SiARC,或无机的,例如金属氧化物)的蚀刻速率可忽略不计。
图4E示出了在多个循环的沉积和蚀刻之后的衬底。在第一特征482的底部482b处蚀刻第一硬掩模材料441以暴露相邻的下层413。类似地,第二特征480的底部480b也暴露相邻的下层413。将衬底的轮廓与虚线442l进行比较,虚线442l示出了在沉积第二硬掩模材料492之后,在蚀刻之前以及在多个循环的沉积和蚀刻之前的衬底的轮廓。
在多种实施方案中,执行沉积和蚀刻的循环,直到第一和第二特征的平均关键尺寸为约25nm或更小或至少约15nm。在多种实施方案中,执行沉积和蚀刻的循环,直到在期望的特征的关键尺寸为20nm的示例中,第一特征的关键尺寸和第二特征的关键尺寸之间的差小于约0.2nm,或彼此相差在约1%之内。
结果,在各种循环的沉积和蚀刻(如图4E所示)结束时,观察到非常大量的通孔阵列的三个特征:首先,限定良好的通孔(第二特征480)的关键尺寸(CD)相对于新来(incoming)光刻尺寸没有显著改变(没有增加,也没有减小);第二,去除“缺陷”通孔(第一特征482)的底部482b处的(由光刻工艺随机性引起的)“不期望的”光致抗蚀剂“残留物”,并且关键尺寸更接近目标或期望的关键尺寸;第三,在未暴露区域中的光致抗蚀剂或第一硬掩模材料441的厚度(由箭头441a表示)(在正性光刻(positive tone lithography)的情况下)保持接近(或略大于)在光刻工艺完成之后(在任何SCD或ALE之前,但在EUV光刻之后)的光致抗蚀剂的厚度。因为所沉积的第二硬掩模材料492保护第一硬掩模材料441免于在进行蚀刻以从第一特征482的底部482b去除第一硬掩模材料441的过程中被蚀刻,所以箭头441a表示的未暴露区域具有与光刻之后以及在沉积和蚀刻循环之前的厚度相似的厚度。在光致抗蚀剂中得到的最终图案在图4E中示出。最终,可以通过蚀刻工艺将第一硬掩模材料图案转移到下面的硬掩模叠层(413、415、417等)中,并最终转移到目标层419中。
图5是一个示例实施方案的工艺流程图,其中根据某些公开的实施方案执行ALE和SCD。尽管图5涉及含碳材料的沉积和使用原子层沉积的定向蚀刻,但是应当理解,公开的实施方案不限于含碳材料的沉积或使用该描述的技术的蚀刻。根据要经受光刻的第一硬掩模材料以及根据相邻的下层材料,可以使用任何其他合适的第二硬掩模材料。另外,可以使用其他蚀刻方法。例如,在多种实施方案中,可以使用与深宽比无关的蚀刻技术或另一种逐层的自限制蚀刻技术。
再次参考图5,在一些实施方案中,图5的操作可以在室压强为介于约5mTorr至约100mTorr之间的室内进行。图5的操作可以在介于约0℃和约120℃之间、或介于约20℃和约60℃、或介于约0℃和约60℃之间的衬底温度下进行。衬底温度应被理解为指保持衬底的基座或晶片保持器处所设定的温度。图5中所示的操作总结了可以如以上关于图3所述执行的示例性操作。操作503-507可以对应于图3的操作312,并且操作509-515可以对应于图3的操作314。可以在图5的操作501之前执行图3的操作310。在一些实施方案中,操作501-599在相同的室或相同的工具中执行,或者在不破坏真空的情况下执行。
在操作501中,将事先进行了光刻的衬底提供给室。在多种实施方案中,衬底包括含碳材料。如上所述,含碳材料可以包括光致抗蚀剂、石墨烯或无定形碳。操作501可以对应于图2的201a和202a中描绘的示意图。操作501中提供的衬底包括部分限定的特征(例如图1B中的第二特征142)和完全限定的特征(例如图1B中的第一特征140)两者
在操作503中,将衬底暴露于含碳化学物质中,以在衬底上形成含碳材料层。沉积以与深宽比相关的方式执行。例如,在一些实施方案中,使用含碳化学物质执行PECVD以在衬底的表面上方形成含碳材料,使得在较大特征的底部比在较小特征的底部沉积更多的含碳材料。在一些实施方案中,这可以用于填充衬底的含碳表面上的缝隙。该操作可以对应于图3的312。在一些实施方案中,使用等离子体执行沉积,并且在一些实施方案中,可以施加偏置。可以以低偏置(例如,自偏置功率=约5V至约15V)和在约30W至约500W范围内的低RF等离子体功率来执行碳沉积。在一些实施方案中,含碳化学物质可以与一种或多种稀释剂组合以产生等离子体。稀释剂的示例包括氮气、氦气、氩气、氢气及其组合。
操作505是可选的。在操作505中,任选地将衬底暴露于惰性气体等离子体中以钝化衬底的区域并允许在随后的循环中优先沉积,使得含碳材料优先沉积在衬底的水平表面上。惰性气体等离子体可通过使氢、氦、氮、氩和氖中的任何一种或多种流动并点燃等离子体来产生。可以使用约30W至约500W之间的等离子体功率来点燃等离子体。
在一些实施方案中,可以在执行操作503和505之间清扫室。在一些实施方案中,在执行任何所描述的操作之间,可以清扫衬底一次或多次。在多种实施方案中,操作503和505可以任选地循环重复,并且可以在具有或者不具有执行操作423和424之间的清扫操作的情况下执行循环。
在操作507中,可以任选地清扫室。在一些实施方案中,在操作503和505之间,或者仅在操作503之后,或者仅在操作505之后,进行清扫。应当理解,可以使用任何合适的清扫技术,通过从室抽排气体、通过使一种或多种惰性气体流过或这两者的组合来执行如本文所述的清扫操作。
在操作509中,将衬底暴露于改性化学物质(例如含氧的气体,例如氧气、CO2或在某些情况下为碳氟化合物气体)以使衬底的表面改性。在一些实施方案中,使在操作503中沉积的含碳材料改性。在一些实施方案中,使先前经受光刻的含碳材料下面的光致抗蚀剂改性。在一些实施方案中,在被改性的衬底表面上的材料因特征不同而异。例如,在一些实施方案中,一些特征的底部包括光致抗蚀剂,而其他特征的底部包括含碳材料。在特征底部的材料可以取决于特征的深宽比、特征深度以及沉积和蚀刻(衬底已经暴露于该沉积和蚀刻)的循环次数。在各种公开的实施方案中,使表面上的含碳材料改性。该操作可以对应于图2的201b和202b中描绘的示意图。在多种实施方案中,将衬底暴露于改性化学中的持续时间大于足以使衬底的单层改性的持续时间。在一些实施方案中,改性化学物质用于使表面超饱和,使得被改性的材料的约1至约5个原子层被改性。可以选择改性化学物质,使得其既适合于使第一硬掩模材料(例如光致抗蚀剂)改性,又适合于使第二硬掩模材料(例如含碳材料)改性。
在操作511中,任选地清扫室以从室除去过量的改性化学物质(例如弱氧化剂,即CO2)。该操作可以对应于图2的202d。室可以通过抽空室或停止改性化学物质的流动并使诸如氦气或氩气之类的非反应性惰性气体流过以除去过量气相改性化学物质来进行清扫。
在操作513中,将衬底暴露于诸如惰性气体等离子体之类的去除化学物质以除去改性的表面。在操作407期间,施加偏置以产生用于惰性气体等离子体的足够的能量以除去改性的表面而不溅射衬底。在一些实施方案中,可以不使用等离子体。在一些实施方案中,可以不使用偏置。在一些实施方案中,没有偏置的单独的等离子体足以去除改性的表面。可以选择去除化学物质,使得其既适合于蚀刻第一硬掩模材料(例如光致抗蚀剂),也适合于蚀刻第二硬掩模材料(例如含碳材料)。可以根据所选择的改性化学来选择去除化学物质。
在操作515中,任选地清扫室以从室中去除气相的改性材料。
在操作517中,可以任选地循环重复操作509-515。在一些实施方案中,根本不执行操作517,从而在操作503中对于含碳材料的每次沉积仅执行操作509-515的一个循环。
在操作599中,确定是否已充分蚀刻衬底以在衬底上形成所需的表面。如果不是,则可以任选地将操作503-517重复x个循环,其中x是等于或大于1的整数。在一些实施方案中,仅在某些但不是所有重复的循环中重复操作509-517,而在一些实施方案中,操作509-517在每个循环中都会重复。
在多种实施方案中,可以针对大范围的光致抗蚀剂和下层材料以及其他类型的图案(例如,线-间隔光栅)来调制或改变操作503-517。例如,除其他因素外,对于SCD的每个选定持续时间执行的重复循环数或ALE循环数可能还取决于在要处理的衬底上提供的材料,将要通过SCD沉积的材料,在要处理的衬底上提供的材料的图案,以及在要处理的衬底上提供的材料下面的下层的材料。除其他因素外,气体化学物质,偏置功率(如果施加的话),等离子体条件,温度,压力和其他处理条件也可取决于在要处理的衬底上提供的材料,将要通过SCD沉积的材料,在要处理的衬底上提供的材料的图案,以及在要处理的衬底上提供的材料下面的下层的材料。
通过组合ALE工艺和选择性沉积工艺,光致抗蚀剂缺陷被修补。然后将该改善转移到下面的硬掩模(例如氧化硅/氮化硅(SiO2/SiN)层),并因此转移到感兴趣的结构,从而导致器件的改善的可变性和性能。
ALE操作是温和的和精确的,每个循环除去数字量的材料,因此蚀刻可以被容易地控制,以便不过度蚀刻柔性抗蚀剂材料。类似地,基于碳的选择性沉积使用非常低的源功率(例如,变压器耦合等离子体或TCP)并且没有使用偏置,并且可以执行沉积而不损坏抗蚀剂
在一些实施方案中,选择性碳沉积可以是任选的。例如,某些这样的实施方案可以用于可以容忍这种缺陷的应用中。在一些实施方案中,代替选择性碳沉积而执行其他沉积技术。例如,在一些实施方案中,可以执行PECVD,由于反应物的连续暴露和特征几何形状,PECVD以与深宽比相关的方式进行沉积以产生加载效果,使得较大的特征以较多的材料沉积,而较小的特征用较少的材料沉积。
在某些实施方案中,如果在整个使用光致抗蚀剂的图案化工艺中将保持原始关键尺寸,则可以在含碳材料上使用所公开的ALE操作和碳沉积的组合以改善局部关键尺寸均匀性(LCDU)并恢复关键尺寸。
装置
所公开的实施方案可以在任何合适的蚀刻室或装置中进行,例如在可从美国加利福尼亚州弗里蒙特市的Lam Research Corporation获得的FX中进行。可以使用等离子体蚀刻室的另一个示例是可从加利福尼亚州弗里蒙特市的Lam Research Corp.获得的FlexTM反应性离子蚀刻工具。等离子体蚀刻室的进一步描述可以在美国专利No.6,841,943和No.8,552,334中找到,其全部内容通过引用并入本文。
在一些实施方案中,可以使用感应耦合等离子体(ICP)反应器。图6中提供了一个示例。这种ICP反应器也已于在2013年12月10日提交的、在2016年6月7日授权的、名称为“METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLEHARDMASK,”的美国专利No.9,362,133中描述,其通过引用并入本文以用于描述用于实施本文描述的技术的合适的ICP反应器。虽然本文描述了ICP反应器,但在一些实施方案中,应当理解,也可以使用电容耦合等离子体反应器。示例性蚀刻室或装置可以包括具有室壁的室、用于保持要处理的衬底或晶片的卡盘、被配置为向线圈供电以产生等离子体的RF电源、以及如本文所述的用于输入气体的气体流入口,该卡盘可以包括用于夹紧和松开晶片并且可以使用RF电源充电的静电电极。例如,可以使改性化学气体和/或沉积化学物质流入蚀刻室,以分别执行ALE和/或沉积。在一些实施方案中,装置可以包括多于一个的室,每个室可用于蚀刻、沉积或处理衬底。室或装置可以包括系统控制器,系统控制器用于控制室或装置的一些或全部操作,例如调节室压力、惰性气体流量、等离子体功率、等离子体频率、反应气体流量(例如,弱氧化剂气体、含碳气体等);偏置功率、温度、真空设置;以及其他工艺条件。该室还可以用于将含碳材料沉积到衬底上。
图6示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体集成蚀刻和沉积装置600的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体集成蚀刻和沉积装置600包括由室壁和窗611结构上限定的室601。室壁可以由不锈钢或铝制成。窗611可以由石英或其他介电材料制成。任选的栅格650将室601分为上副室602和下副室603。在大多数实施方案中,等离子体栅格650可以被移除,从而利用由副室602和603构成的室空间。卡盘617定位在下副室603中在底部内表面附近。卡盘617被配置成接收和保持在其上执行蚀刻和沉积工艺的晶片619。卡盘617可以是当晶片619存在时用于支撑晶片619的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘617,并具有大致与晶片619(当晶片存在于卡盘617上方时)的顶面在同一平面的上表面。卡盘617还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位电源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片619使其离开卡盘617。卡盘617可以用RF电源623充电。RF电源623通过连接件627被连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。以这种方式,RF电源623被连接到卡盘617上。
用于等离子体产生的元件包括位于窗611上方的线圈633。在一些实施方案中,所公开的实施方案中未使用线圈。线圈633由导电材料制成,并包括至少一整匝。在图6中所示的线圈633的示例包括三匝。线圈633的横截面用符号示出,具有“X”符号的线圈表示线圈633旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈633的RF电源641。一般地,RF电源641通过连接件645被连接到匹配电路639。匹配电路639通过连接件643连接到线圈633。以这种方式,RF电源641被连接到线圈633。任选的法拉第屏蔽件649被定位在线圈633和窗611之间。法拉第屏蔽件649以相对于线圈633成隔开的关系被保持。法拉第屏蔽件649被设置在窗611的正上方。线圈633、法拉第屏蔽件649和窗611各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在室601的介电窗611上。
工艺气体(例如氧气、二氧化碳、甲烷等)可以通过位于上副室602中的一个或多个气体流入口660和/或通过一个或多个侧气体流入口670流入室601。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵640,可用于将工艺气体从室601抽出并维持室601内的压强。例如,该泵可用于在ALE清扫操作过程中排空室601。阀控制的导管可用于使真空泵流体连接在室601上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口660和/或670供给。在某些实施方案中,工艺气体可以仅通过气体流入口660供给,或者仅通过侧气体流入口670供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件649和/或任选的栅格650可以包括使工艺气体能输送至室601的内部通道和孔。法拉第屏蔽件649和任选的栅格650中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室601的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口660和/或670引入到室601中。
射频功率从RF电源641供给到线圈633以使RF电流流过线圈633。流过线圈633的RF电流产生围绕线圈633的电磁场。电磁场产生在上副室602内的感应电流。所生成的各离子和自由基与晶片619的物理和化学相互作用在晶片上蚀刻特征和沉积层。
如果使用等离子体栅格使得存在上副室602和下副室603二者,则感应电流作用于存在于上副室602中的气体上以在上副室602中产生电子-离子等离子体。任选的栅格650限制下副室603中的热电子的量。在一些实施方案中,设计和操作所述装置使得存在于下副室603中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子对阳离子比率。挥发性的蚀刻和/或沉积的副产物可通过端口622从下副室603去除。本文所公开的卡盘617可在约10℃至约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
室601当安装在干净的房间或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室601。此外,室601可耦合在传送室上,从而允许使用典型的自动化由机器人进出室601传送半导体晶片。
在一些实施方案中,控制器630(其可包括一个或多个物理或逻辑控制器)控制处理室的一些或所有操作。控制器630可包括一个或多个存储器器件和一个或多个处理器。在一些实施方案中,所述装置包括当进行所公开的实施方案时用于控制流速和持续时间的开关系统。在一些实施方案中,所述装置可具有高达约500ms或高达约650ms的切换时间。切换时间可取决于流动化学物质、配方选择、反应器的体系结构和其他因素。
室601或装置可以包括系统控制器,例如,在一些实施方案中,控制器630是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称作“控制器”,该控制器可以控制一个或多个系统的各种组件或子部件。根据处理要求和/或系统的类型,控制器630可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器630可以被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理操作的配方(recipe)的一部分。
在一些实现方式中,控制器630可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器630可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理操作以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,该控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理操作的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具。因此,如上所述,该控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室内的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺操作,控制器630可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
室601可以集成在如图7所示的多站式工具中。每个站可以用于处理不同的操作。例如,可以使用一个站来执行定向蚀刻(例如ALE),而另一个站用于执行沉积。可以在不破坏真空的情况下执行公开的实施方案,并且可以在相同的装置中执行。在多种实施方案中,定向蚀刻(例如ALE)和沉积在不破坏真空的情况下进行。在多种实施方案中,定向蚀刻(例如ALE)和沉积在相同的室中进行。
图7描述了半导体工艺集群结构,其中各个模块与真空传送模块738(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的传送模块的配置可以被称为“集群工具架构”系统。气密室模块730(也被称为装载锁或传送模块)在具有四个处理模块720a-720d的VTM738中示出,四个处理模块720a-720d可以被单独优化以执行各种制造工艺。例如,处理模块720a-720d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。在一些实施方案中,ALE和沉积在相同的模块中进行。在一些实施方案中,ALE和沉积在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(720a-720d中的任一个)可以如本文所公开的被实施,即,用于执行ALE,沉积含碳材料,以及根据所公开的实施方案的其它合适的功能。气密室模块730和处理模块720可以被称为“站”。每个站具有将站与VTM 738连接的小面736(facet 736)。在每个小面内部,传感器1-18被用于在衬底726在各站之间移动时检测衬底726的通过。
机械手722将晶片726在各站之间传输。在一个实施方案中,机械手722具有一个臂,而在另一实施方案中,机械手具有两个臂,其中每个臂具有端部执行器724以拾取晶片(例如晶片726)以供运输。在大气传送模块(ATM)740中,前端机械手732用于从在负载端口模块(LPM)742中的晶片盒或前开式标准盒(FOUP)734传送晶片726到气密室模块730。处理模块720内的模块中心728是用于放置晶片726的一个位置。在ATM 740中的对准器744用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 742中的多个FOUP 734中的一个中。前端机械手732将晶片从FOUP 734传送到对准器744,其允许晶片726在被蚀刻或处理之前适当地居中。对准后,晶片726由前端机械手732移动到气密室模块730中。由于气密模块具有匹配ATM和VTM之间的环境的能力,因此晶片726能够在两种压强环境之间移动而不被破坏。从气密模块730,晶片726通过机械手722移动通过VTM 738并进入处理模块720a-720d中的一个。为了实现这种晶片移动,机械手722在其每一个臂上使用端部执行器724。一旦晶片726已被处理,则通过机械手722将其从处理模块720a-720d移动到气密模块730中。晶片726可以从这里通过前端机械手732移动到多个FOUP 734中的一个中或到对准器744。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图6所述的控制器可以用图7中的工具实施。
实验
通过以下方式在通过EUV光刻预先蚀刻的衬底上执行技术1:在图案上沉积材料,然后进行转移蚀刻到达目标膜。此工艺不是循环工艺。如图8所示,局部关键尺寸均匀性(LCDU)的降低与关键尺寸(CD)的减小成比例,并且与深宽比无关。修整越多导致LCDU减少越多。该工艺可以减小关键尺寸,从而降低LCDU,但是它不能解决来自光刻的新来(incoming)晶片的特征的关键尺寸的分布,特别是较小的关键尺寸特征,因为沉积可能会封闭特征并且可能难以恢复这些特征。技术1扩大了特征的CD,但是可以达到间距限制,并且由于两个特征之间的间隔太小,因此无法用光刻技术限定紧密间距的特征。
技术2涉及在通过EUV光刻法蚀刻的衬底上使用碳沉积和原子层蚀刻的10至15个循环。新来(incoming)关键尺寸为约22nm至约23nm。如图8所示,所显示的LCDU约为1.6nm。可以将LCDU减小至约1.6nm,同时使CD保持与新来(incoming)CD接近。关键尺寸还可以调整到19nm或更小,而不会有封闭特征的风险,也无需调整光刻CD。LCDU和CD均可通过此技术独立控制。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方式,但是显而易见的是,可在本公开的范围内实施某些变化和修改。通过针对一些特定实施方案的所附示例权利要求的方式提供了进一步的公开,但并不旨在进行限制。应当注意的是,具有实施本发明实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。
Claims (55)
1.一种处理半导体衬底的方法,所述方法包括:
提供具有在第一硬掩模材料中通过光刻形成的第一特征和第二特征的衬底,
其中,所述第一特征通过所述光刻部分地形成并且包括底部,并且所述第一硬掩模材料包括所述第一特征的特征开口和所述第二特征的特征开口之间的场区域;
在所述第一硬掩模材料上沉积第二硬掩模材料持续足以优先在所述场区域上形成第二硬掩模材料至厚度大于所述第一特征中的所述第二硬掩模材料的厚度的时间;以及
定向蚀刻所述第二硬掩模材料以去除在所述第一特征的所述底部的材料,其中在所述第一特征的所述底部的所述材料是所述第一硬掩模材料或所述第二硬掩模材料。
2.根据权利要求1所述的方法,其中执行所述定向蚀刻以蚀刻穿过所述第二硬掩模材料并且暴露在所述第一特征的所述底部的在所述第一硬掩模材料下面的第三硬掩模材料。
3.根据权利要求2所述的方法,其中所述第二特征的底部包括在所述第一硬掩模材料下面的第三硬掩模材料。
4.根据权利要求1所述的方法,其中,沉积到所述第一特征和第二特征中的所述第二硬掩模材料的量取决于所述第一特征的深宽比和所述第二特征的深宽比。
5.根据权利要求1所述的方法,其中,与所述第一特征和所述第二特征的深宽比无关地执行定向蚀刻。
6.根据权利要求1所述的方法,其中,所述第一特征的深宽比不同于所述第二特征的深宽比。
7.根据权利要求1所述的方法,其中,所述第一特征的深度不同于所述第二特征的深度。
8.根据权利要求1所述的方法,其中所述第一特征在光刻期间暴露不足。
9.根据权利要求1所述的方法,其中,所述第一特征的在所述沉积和所述定向蚀刻之后的关键尺寸是在所述第二特征的在所述沉积和所述定向蚀刻之前的关键尺寸的约0.5%至约1%内。
10.根据权利要求1所述的方法,其中,所述第一特征的在所述沉积和所述定向蚀刻之后的深宽比是在所述第二特征的在所述沉积和所述定向蚀刻之前的深宽比的深宽比的约1%至约10%内。
11.根据权利要求1所述的方法,其中,所述第一特征和所述第二特征的在沉积和定向蚀刻之后的平均关键尺寸为至少约15nm。
12.根据权利要求1所述的方法,其中,在所述沉积和所述定向蚀刻之后在所述衬底上的所述第一特征和所述第二特征的关键尺寸的变化小于在光刻限定之后所述第一特征和所述第二特征的关键尺寸的变化。
13.根据权利要求1所述的方法,重复沉积所述第二硬掩模材料以及定向蚀刻所述第二硬掩模材料足够的循环,以减少去除在所述第一特征的底部的所述第一硬掩模材料。
14.根据权利要求13所述的方法,其中在每个循环中在所述第二特征中的沉积的所述第二硬掩模材料保护所述第一硬掩模材料下面的材料不被蚀刻,并且其中在每个循环中的所述定向蚀刻去除位于所述第一特征的所述底部的第一硬掩模材料,使得所述第一特征的深度与所述第二特征的深度之间的差接近0。
15.根据权利要求1所述的方法,其中通过以下方式执行定向蚀刻所述第二硬掩模材料:将所述第二硬掩模材料暴露于蚀刻物质以形成改性表面,并且在施加偏置的同时,在没有所述蚀刻物质的情况下,在惰性气体环境中点燃等离子体以去除所述改性表面。
16.根据权利要求15所述的方法,其中将所述第二硬掩模材料暴露于所述蚀刻物质持续足以在所述第二硬掩模材料的所述改性表面中在其暴露于所述等离子体时去除介于约5nm和约10nm之间的材料的时间。
17.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料的所述沉积通过化学气相沉积形成。
18.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料的所述沉积通过等离子体增强化学气相沉积形成。
19.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料是含碳材料。
20.根据权利要求19所述的方法,其中,所述含碳材料是掺杂有选自III、IV、V、VI或VII族或其组合的元素的非晶碳。
21.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料选自由含硅材料和含锡材料组成的组。
22.根据权利要求21所述的方法,其中,所述含硅材料选自由二氧化硅和氮化硅组成的组。
23.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料具有与所述第一硬掩模材料的组成不同的组成。
24.根据权利要求1至16中任一项所述的方法,其中,所述第二硬掩模材料具有与所述第一硬掩模材料的晶格结构不同的晶格结构。
25.根据权利要求1至16中任一项所述的方法,其中,所述衬底包括在所述第一硬掩模材料下面的第三硬掩模材料。
26.根据权利要求25所述的方法,其中所述第二硬掩模材料相对于所述第三硬掩模材料具有蚀刻选择性,使得所述第二硬掩模材料的蚀刻速率比所述第三硬掩模材料的蚀刻速率大至少三倍。
27.根据权利要求26所述的方法,其中,所述第三硬掩模材料选自由氧氮化硅、含硅的抗反射涂层材料、旋涂玻璃、底部抗反射涂层材料、氧化锡、氮化锡、硫化锡、氧化铅、氮化铅、硫化铅及其组合组成的组。
28.根据权利要求1至16中任一项所述的方法,其中所述第二特征的特征开口的宽度介于约15nm和约100nm之间。
29.根据权利要求1至16中任一项所述的方法,其中,在所述第二硬掩模材料的所述定向蚀刻期间,沉积在所述场区域上的所述第二硬掩模材料保护所述第一硬掩模材料。
30.根据权利要求1至16中任一项所述的方法,其中所述第二硬掩模材料的所述沉积和所述定向蚀刻在同一工具中执行。
31.根据权利要求1至16中任一项所述的方法,其中所述第二硬掩模材料的所述沉积和所述定向蚀刻在不破坏真空的情况下执行。
32.根据权利要求1至16中任一项所述的方法,其中,所述第一硬掩模材料选自由光致抗蚀剂和旋涂碳组成的组。
33.根据权利要求1至16中任一项所述的方法,其中,所述第一特征和所述第二特征通过极紫外光刻形成。
34.根据权利要求1至16中任一项所述的方法,其中,所述第一特征和所述第二特征通过浸渍光刻形成。
35.一种处理半导体衬底的方法,该方法包括:
提供具有图案化光致抗蚀剂的衬底,所述图案化光致抗蚀剂包括第一特征和第二特征,其中所述第一特征被部分地限定并且在所述第一特征的底部包含光致抗蚀剂;
在提供所述衬底之后,优先在所述光致抗蚀剂上沉积含碳材料,使得在所述第二特征的底部比在所述第一特征的底部沉积更多的含碳材料;以及
在沉积所述含碳材料之后,在所述衬底的暴露表面上进行原子层蚀刻。
36.根据权利要求35所述的方法,其中,通过以下步骤执行所述原子层蚀刻:将所述衬底的所述暴露表面暴露于蚀刻物质并且在施加偏置的同时点燃等离子体以使所述衬底的所述暴露表面的表面改性并形成改性表面,以及将所述改性表面暴露于第二等离子体持续足以去除所述经修饰的表面的时间。
37.根据权利要求36所述的方法,其中当将所述改性表面暴露于所述第二等离子体时施加偏置。
38.根据权利要求36所述的方法,其中在没有溅射所述改性表面下面的材料的情况下蚀刻所述改性表面。
39.根据权利要求36所述的方法,其中将所述衬底的所述暴露表面暴露于所述蚀刻物质还包括引入选自由氦、氩、氖、氪和氙组成的组的稀释剂惰性气体。
40.根据权利要求35所述的方法,其中,所述衬底还包括与所述光致抗蚀剂相邻并在其下面的下层,并且优先沉积所述含碳材料,以便不沉积在所述下层的暴露区域上。
41.根据权利要求35所述的方法,其中当暴露于所述原子层蚀刻时,选择性地沉积在所述光致抗蚀剂上的所述含碳材料的蚀刻速率比所述光致抗蚀剂的蚀刻速率慢。
42.根据权利要求35所述的方法,其中在原子层蚀刻期间所述光致抗蚀剂的蚀刻速率比所述含碳材料的蚀刻速率快。
43.根据权利要求35所述的方法,其中所述原子层蚀刻各向异性地去除光致抗蚀剂。
44.根据权利要求35所述的方法,其中,所述第一特征和所述第二特征中的沉积厚度取决于所述第一特征和所述第二特征的深宽比。
45.根据权利要求35至44中的任一项所述的方法,其中,通过深紫外光刻来图案化所述光致抗蚀剂。
46.根据权利要求35至44中的任一项所述的方法,其中,通过极紫外光刻来图案化所述光致抗蚀剂。
47.根据权利要求35至44中的任一项所述的方法,其中,所述光致抗蚀剂通过浸渍光刻来图案化。
48.根据权利要求47所述的方法,其中,所述浸渍光刻包括将所述光致抗蚀剂暴露于氟化氩。
49.根据权利要求35至44中任一项所述的方法,其中,将所述含碳材料优先沉积在所述衬底上的所述光致抗蚀剂上还包括引入甲烷。
50.根据权利要求35至44中任一项所述的方法,其中,优先沉积并执行原子层蚀刻循环重复,直到所述第一特征与所述第二特征具有相同的关键尺寸。
51.一种处理半导体衬底的方法,该方法包括:
提供具有图案化光致抗蚀剂的衬底,所述图案化光致抗蚀剂包括第一特征和第二特征,其中所述第一特征的特征深度小于所述图案化光致抗蚀剂的厚度;
在提供所述衬底之后,通过等离子体增强化学气相沉积在所述图案化光致抗蚀剂上沉积含碳材料,以及
在沉积所述含碳材料之后,通过使所述衬底上的暴露表面的表面改性以形成改性表面并蚀刻所述改性表面来蚀刻所述衬底。
52.根据权利要求51所述的方法,其还包括在提供所述衬底之前,通过极紫外光刻形成所述图案化的光致抗蚀剂。
53.根据权利要求51所述的方法,其还包括循环重复所述沉积和所述蚀刻,使得每个循环导致所述第一特征的所述特征深度接近所述图案化的光致抗蚀剂的厚度。
54.一种用于处理半导体衬底的装置,所述装置包括:
一个或多个处理室,每个处理室包括卡盘;
通向所述处理室内的一个或多个气体入口以及相关的流量控制硬件;
等离子体发生器;以及
具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且
所述存储器存储用于控制所述至少一个处理器以至少通过以下步骤来控制所述流量控制硬件的计算机可执行指令:
致使引入硬掩模沉积前体;
在引入硬掩模沉积前体之后,致使停止引入所述硬掩模沉积前体;
在停止引入所述硬掩模沉积前体之后,致使引入改性气体;以及
在引入所述改性气体之后,致使引入惰性气体并产生等离子体,
其中在不破坏真空的情况下执行所述硬掩模沉积前体、所述改性气体和所述惰性气体的引入。
55.根据权利要求54所述的装置,其中,所述装置还包括用于在两个或更多个循环中重复引入所述硬掩模沉积前体、所述改性气体和所述惰性气体的指令。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762506803P | 2017-05-16 | 2017-05-16 | |
US62/506,803 | 2017-05-16 | ||
US15/979,340 | 2018-05-14 | ||
US15/979,340 US10796912B2 (en) | 2017-05-16 | 2018-05-14 | Eliminating yield impact of stochastics in lithography |
PCT/US2018/032783 WO2018213318A1 (en) | 2017-05-16 | 2018-05-15 | Eliminating yield impact of stochastics in lithography |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110892509A true CN110892509A (zh) | 2020-03-17 |
CN110892509B CN110892509B (zh) | 2024-02-09 |
Family
ID=64272020
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880046648.9A Active CN110892509B (zh) | 2017-05-16 | 2018-05-15 | 消除光刻中随机数的收率影响 |
Country Status (6)
Country | Link |
---|---|
US (3) | US10796912B2 (zh) |
JP (2) | JP7199381B2 (zh) |
KR (2) | KR20240038826A (zh) |
CN (1) | CN110892509B (zh) |
TW (2) | TWI772422B (zh) |
WO (1) | WO2018213318A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113078043A (zh) * | 2021-03-24 | 2021-07-06 | 长鑫存储技术有限公司 | 非晶碳膜的形成方法及半导体结构 |
Families Citing this family (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
US10115601B2 (en) * | 2016-02-03 | 2018-10-30 | Tokyo Electron Limited | Selective film formation for raised and recessed features using deposition and etching processes |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10795270B2 (en) * | 2017-08-25 | 2020-10-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of defect inspection |
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
WO2019190781A1 (en) | 2018-03-30 | 2019-10-03 | Lam Research Corporation | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials |
US10566194B2 (en) * | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
CN109411415B (zh) * | 2018-09-07 | 2021-04-30 | 上海集成电路研发中心有限公司 | 一种半导体结构的形成方法 |
KR20210076999A (ko) | 2018-11-14 | 2021-06-24 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
JP7229750B2 (ja) * | 2018-12-14 | 2023-02-28 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
EP3719576A1 (en) * | 2019-04-04 | 2020-10-07 | IMEC vzw | Resistless pattering mask |
US11837471B2 (en) | 2019-12-17 | 2023-12-05 | Tokyo Electron Limited | Methods of patterning small features |
KR102539806B1 (ko) | 2020-01-15 | 2023-06-05 | 램 리써치 코포레이션 | 포토레지스트 부착 및 선량 감소를 위한 하부층 |
US11550229B1 (en) * | 2021-06-18 | 2023-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Enhancing lithography operation for manufacturing semiconductor devices |
US20220415648A1 (en) * | 2021-06-28 | 2022-12-29 | Applied Materials, Inc. | Selective carbon deposition on top and bottom surfaces of semiconductor substrates |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5445988A (en) * | 1993-07-13 | 1995-08-29 | Siemens Aktiengesellschaft | Method for manufacturing a trench in a substrate for use in smart-power technology |
CN1904727A (zh) * | 2005-07-29 | 2007-01-31 | 应用材料公司 | 便于光掩模制造中的工艺集成的组合工具和方法 |
JP2013526061A (ja) * | 2010-04-30 | 2013-06-20 | アプライド マテリアルズ インコーポレイテッド | スタック欠陥率を改善するアモルファスカーボン堆積法 |
JP2013145874A (ja) * | 2011-12-21 | 2013-07-25 | Imec | Euvフォトレジスト封入 |
US20140120688A1 (en) * | 2011-12-09 | 2014-05-01 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US20150170957A1 (en) * | 2012-11-14 | 2015-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
US20160135274A1 (en) * | 2014-11-12 | 2016-05-12 | Lam Research Corporation | Adjustment of vuv emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US20160293405A1 (en) * | 2015-04-02 | 2016-10-06 | Tokyo Electron Limited | Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp) |
Family Cites Families (146)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3576755A (en) | 1964-09-24 | 1971-04-27 | American Cyanamid Co | Photochromism in plastic film containing inorganic materials |
US3442648A (en) | 1965-06-16 | 1969-05-06 | American Cyanamid Co | Photographic dodging method |
US3513010A (en) | 1966-07-11 | 1970-05-19 | Kalvar Corp | Conversion foil |
US3529963A (en) | 1966-08-23 | 1970-09-22 | Du Pont | Image-yielding elements and processes |
US3720515A (en) | 1971-10-20 | 1973-03-13 | Trw Inc | Microelectronic circuit production |
US4241165A (en) | 1978-09-05 | 1980-12-23 | Motorola, Inc. | Plasma development process for photoresist |
US4328298A (en) | 1979-06-27 | 1982-05-04 | The Perkin-Elmer Corporation | Process for manufacturing lithography masks |
JPS60115222A (ja) | 1983-11-28 | 1985-06-21 | Tokyo Ohka Kogyo Co Ltd | 微細パタ−ン形成方法 |
US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US4756794A (en) | 1987-08-31 | 1988-07-12 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer etching |
US4834834A (en) | 1987-11-20 | 1989-05-30 | Massachusetts Institute Of Technology | Laser photochemical etching using surface halogenation |
US4845053A (en) | 1988-01-25 | 1989-07-04 | John Zajac | Flame ashing process for stripping photoresist |
GEP20002074B (en) | 1992-05-19 | 2000-05-10 | Westaim Tech Inc Ca | Modified Material and Method for its Production |
JPH06326060A (ja) | 1993-05-12 | 1994-11-25 | Hitachi Ltd | 固体表面加工方法 |
TW276353B (zh) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
US5534312A (en) | 1994-11-14 | 1996-07-09 | Simon Fraser University | Method for directly depositing metal containing patterned films |
US6007963A (en) | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
US6261938B1 (en) | 1997-02-12 | 2001-07-17 | Quantiscript, Inc. | Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography |
US6348239B1 (en) | 2000-04-28 | 2002-02-19 | Simon Fraser University | Method for depositing metal and metal oxide films and patterned films |
WO2001059825A1 (en) * | 2000-02-08 | 2001-08-16 | Matrix Integrated Systems, Inc. | Method for removing photoresist and residues from semiconductor device surfaces |
US6517602B2 (en) | 2000-03-14 | 2003-02-11 | Hitachi Metals, Ltd | Solder ball and method for producing same |
US20040191423A1 (en) | 2000-04-28 | 2004-09-30 | Ruan Hai Xiong | Methods for the deposition of silver and silver oxide films and patterned films |
US20060001064A1 (en) | 2000-04-28 | 2006-01-05 | Hill Ross H | Methods for the lithographic deposition of ferroelectric materials |
JP2002015971A (ja) | 2000-06-27 | 2002-01-18 | Matsushita Electric Ind Co Ltd | パターン形成方法及び半導体装置の製造装置 |
US6797439B1 (en) | 2001-03-30 | 2004-09-28 | Schott Lithotec Ag | Photomask with back-side anti-reflective layer and method of manufacture |
US6933673B2 (en) | 2001-04-27 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device and process of manufacturing the same |
US6562700B1 (en) | 2001-05-31 | 2003-05-13 | Lsi Logic Corporation | Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal |
US6926957B2 (en) | 2001-06-29 | 2005-08-09 | 3M Innovative Properties Company | Water-based ink-receptive coating |
JP2003213001A (ja) | 2001-11-13 | 2003-07-30 | Sekisui Chem Co Ltd | 光反応性組成物 |
JP3806702B2 (ja) | 2002-04-11 | 2006-08-09 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法 |
US7390596B2 (en) | 2002-04-11 | 2008-06-24 | Hoya Corporation | Reflection type mask blank and reflection type mask and production methods for them |
DE10219173A1 (de) | 2002-04-30 | 2003-11-20 | Philips Intellectual Property | Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung |
DE60325629D1 (de) | 2002-10-21 | 2009-02-12 | Nanoink Inc | Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur |
JP4153783B2 (ja) | 2002-12-09 | 2008-09-24 | 株式会社東芝 | X線平面検出器 |
US7067407B2 (en) | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US7018469B2 (en) | 2003-09-23 | 2006-03-28 | Micron Technology, Inc. | Atomic layer deposition methods of forming silicon dioxide comprising layers |
GB0323805D0 (en) | 2003-10-10 | 2003-11-12 | Univ Southampton | Synthesis of germanium sulphide and related compounds |
US7126128B2 (en) | 2004-02-13 | 2006-10-24 | Kabushiki Kaisha Toshiba | Flat panel x-ray detector |
JP2006253282A (ja) | 2005-03-09 | 2006-09-21 | Ebara Corp | 金属膜のパターン形成方法 |
US20060068173A1 (en) | 2004-09-30 | 2006-03-30 | Ebara Corporation | Methods for forming and patterning of metallic films |
US7885387B2 (en) | 2004-12-17 | 2011-02-08 | Osaka University | Extreme ultraviolet light and X-ray source target and manufacturing method thereof |
KR100607201B1 (ko) | 2005-01-04 | 2006-08-01 | 삼성전자주식회사 | 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법 |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
US7868304B2 (en) | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7482280B2 (en) | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070095367A1 (en) | 2005-10-28 | 2007-05-03 | Yaxin Wang | Apparatus and method for atomic layer cleaning and polishing |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
US20070117040A1 (en) * | 2005-11-21 | 2007-05-24 | International Business Machines Corporation | Water castable-water strippable top coats for 193 nm immersion lithography |
JP2007207530A (ja) | 2006-01-31 | 2007-08-16 | Toshiba Corp | 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置 |
US7662718B2 (en) * | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US8481423B2 (en) | 2007-09-19 | 2013-07-09 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics |
US8664513B2 (en) | 2007-10-12 | 2014-03-04 | OmniPV, Inc. | Solar modules with enhanced efficiencies via use of spectral concentrators |
KR100921932B1 (ko) | 2007-10-25 | 2009-10-15 | 포항공과대학교 산학협력단 | 다원자분자를 이용한 패터닝방법 |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
US7985513B2 (en) | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
US20090286402A1 (en) * | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
JP2009294439A (ja) | 2008-06-05 | 2009-12-17 | Toshiba Corp | レジストパターン形成方法 |
JP5171422B2 (ja) | 2008-06-19 | 2013-03-27 | ルネサスエレクトロニクス株式会社 | 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法 |
US20090321707A1 (en) | 2008-06-25 | 2009-12-31 | Matthew Metz | Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films |
JP5391594B2 (ja) | 2008-07-02 | 2014-01-15 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP5541159B2 (ja) | 2008-07-14 | 2014-07-09 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク |
KR20110046439A (ko) | 2008-07-24 | 2011-05-04 | 코비오 인코포레이티드 | 알루미늄 잉크 및 이의 제조 방법, 알루미늄 잉크 증착 방법 및 알루미늄 잉크의 인쇄 및/또는 증착에 의해 형성된 필름 |
JP5085595B2 (ja) | 2008-09-08 | 2012-11-28 | 株式会社東芝 | コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。 |
US7977235B2 (en) | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
JP5193121B2 (ja) | 2009-04-17 | 2013-05-08 | 東京エレクトロン株式会社 | レジスト塗布現像方法 |
US7759239B1 (en) | 2009-05-05 | 2010-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of reducing a critical dimension of a semiconductor device |
US8114306B2 (en) * | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP5813303B2 (ja) | 2009-11-20 | 2015-11-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US8247332B2 (en) * | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9257274B2 (en) * | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US20120100308A1 (en) | 2010-10-25 | 2012-04-26 | Asm America, Inc. | Ternary metal alloys with tunable stoichiometries |
US8470711B2 (en) | 2010-11-23 | 2013-06-25 | International Business Machines Corporation | Tone inversion with partial underlayer etch for semiconductor device formation |
US8836082B2 (en) | 2011-01-31 | 2014-09-16 | Brewer Science Inc. | Reversal lithography approach by selective deposition of nanoparticles |
US8778816B2 (en) | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
JP5708522B2 (ja) | 2011-02-15 | 2015-04-30 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
US9281207B2 (en) | 2011-02-28 | 2016-03-08 | Inpria Corporation | Solution processible hardmasks for high resolution lithography |
KR20140029470A (ko) | 2011-04-29 | 2014-03-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 코팅 프로세스에서 가요성 기판을 패시베이팅하기 위한 디바이스들 및 방법들 |
FR2975823B1 (fr) * | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc |
KR101909567B1 (ko) | 2011-07-08 | 2018-10-18 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트 |
US8617411B2 (en) | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US8741775B2 (en) * | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
TWI606136B (zh) | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US20130177847A1 (en) | 2011-12-12 | 2013-07-11 | Applied Materials, Inc. | Photoresist for improved lithographic control |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
SG193093A1 (en) | 2012-02-13 | 2013-09-30 | Novellus Systems Inc | Method for etching organic hardmasks |
WO2013172359A1 (ja) | 2012-05-14 | 2013-11-21 | コニカミノルタ株式会社 | ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6035117B2 (ja) | 2012-11-09 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
JP5918108B2 (ja) | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
JP5913077B2 (ja) | 2012-12-18 | 2016-04-27 | 信越化学工業株式会社 | ポジ型レジスト材料及びこれを用いたパターン形成方法 |
US9337068B2 (en) * | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US9304396B2 (en) * | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
JP6452136B2 (ja) | 2013-09-04 | 2019-01-16 | 東京エレクトロン株式会社 | 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 |
US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
US9405204B2 (en) | 2013-09-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of overlay in extreme ultra-violet (EUV) lithography |
US9257431B2 (en) | 2013-09-25 | 2016-02-09 | Micron Technology, Inc. | Memory cell with independently-sized electrode |
JP6347695B2 (ja) | 2013-11-20 | 2018-06-27 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
WO2015146749A1 (ja) | 2014-03-26 | 2015-10-01 | 東レ株式会社 | 半導体装置の製造方法及び半導体装置 |
US10685846B2 (en) | 2014-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor integrated circuit fabrication with pattern-reversing process |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
GB201412201D0 (en) | 2014-07-09 | 2014-08-20 | Isis Innovation | Two-step deposition process |
US9768033B2 (en) | 2014-07-10 | 2017-09-19 | Tokyo Electron Limited | Methods for high precision etching of substrates |
US9362131B2 (en) | 2014-08-29 | 2016-06-07 | Applied Materials, Inc. | Fast atomic layer etch process using an electron beam |
KR102319630B1 (ko) | 2014-10-23 | 2021-10-29 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9551924B2 (en) | 2015-02-12 | 2017-01-24 | International Business Machines Corporation | Structure and method for fixing phase effects on EUV mask |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
TW202336855A (zh) | 2015-06-05 | 2023-09-16 | 美商蘭姆研究公司 | GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻 |
US9659771B2 (en) * | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
EP4089482A1 (en) | 2015-10-13 | 2022-11-16 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
KR20170050056A (ko) | 2015-10-29 | 2017-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US20180308687A1 (en) | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
-
2018
- 2018-05-14 US US15/979,340 patent/US10796912B2/en active Active
- 2018-05-15 TW TW107116415A patent/TWI772422B/zh active
- 2018-05-15 WO PCT/US2018/032783 patent/WO2018213318A1/en active Application Filing
- 2018-05-15 JP JP2019563508A patent/JP7199381B2/ja active Active
- 2018-05-15 CN CN201880046648.9A patent/CN110892509B/zh active Active
- 2018-05-15 KR KR1020247008575A patent/KR20240038826A/ko active Search and Examination
- 2018-05-15 TW TW111123386A patent/TWI800414B/zh active
- 2018-05-15 KR KR1020197037210A patent/KR102649013B1/ko active IP Right Grant
-
2020
- 2020-08-31 US US17/008,095 patent/US11257674B2/en active Active
-
2021
- 2021-12-23 US US17/645,939 patent/US20220122846A1/en active Pending
-
2022
- 2022-12-20 JP JP2022202758A patent/JP2023036764A/ja active Pending
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5445988A (en) * | 1993-07-13 | 1995-08-29 | Siemens Aktiengesellschaft | Method for manufacturing a trench in a substrate for use in smart-power technology |
CN1904727A (zh) * | 2005-07-29 | 2007-01-31 | 应用材料公司 | 便于光掩模制造中的工艺集成的组合工具和方法 |
JP2013526061A (ja) * | 2010-04-30 | 2013-06-20 | アプライド マテリアルズ インコーポレイテッド | スタック欠陥率を改善するアモルファスカーボン堆積法 |
US20140120688A1 (en) * | 2011-12-09 | 2014-05-01 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
JP2013145874A (ja) * | 2011-12-21 | 2013-07-25 | Imec | Euvフォトレジスト封入 |
US20150170957A1 (en) * | 2012-11-14 | 2015-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
US20160135274A1 (en) * | 2014-11-12 | 2016-05-12 | Lam Research Corporation | Adjustment of vuv emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US20160293405A1 (en) * | 2015-04-02 | 2016-10-06 | Tokyo Electron Limited | Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113078043A (zh) * | 2021-03-24 | 2021-07-06 | 长鑫存储技术有限公司 | 非晶碳膜的形成方法及半导体结构 |
Also Published As
Publication number | Publication date |
---|---|
TWI772422B (zh) | 2022-08-01 |
WO2018213318A1 (en) | 2018-11-22 |
US20200402801A1 (en) | 2020-12-24 |
KR20190142426A (ko) | 2019-12-26 |
CN110892509B (zh) | 2024-02-09 |
US20180337046A1 (en) | 2018-11-22 |
KR102649013B1 (ko) | 2024-03-18 |
JP2020521320A (ja) | 2020-07-16 |
TWI800414B (zh) | 2023-04-21 |
US11257674B2 (en) | 2022-02-22 |
TW202240744A (zh) | 2022-10-16 |
TW201907445A (zh) | 2019-02-16 |
US20220122846A1 (en) | 2022-04-21 |
JP7199381B2 (ja) | 2023-01-05 |
KR20240038826A (ko) | 2024-03-25 |
JP2023036764A (ja) | 2023-03-14 |
US10796912B2 (en) | 2020-10-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11257674B2 (en) | Eliminating yield impact of stochastics in lithography | |
US10685836B2 (en) | Etching substrates using ALE and selective deposition | |
TWI791059B (zh) | 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積 | |
CN114026501A (zh) | 利用卤化物化学品的光致抗蚀剂显影 | |
KR20210149893A (ko) | 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 | |
JP7382512B2 (ja) | 照射フォトレジストパターニングのための統合乾式プロセス | |
US20240036474A1 (en) | Control of metallic contamination from metal-containing photoresist | |
KR20240056603A (ko) | 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상 | |
WO2023215136A1 (en) | Post-development treatment of metal-containing photoresist | |
TW202417971A (zh) | 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影 | |
CN118159914A (zh) | 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |