KR20070015031A - 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법 - Google Patents

듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법 Download PDF

Info

Publication number
KR20070015031A
KR20070015031A KR1020060070779A KR20060070779A KR20070015031A KR 20070015031 A KR20070015031 A KR 20070015031A KR 1020060070779 A KR1020060070779 A KR 1020060070779A KR 20060070779 A KR20060070779 A KR 20060070779A KR 20070015031 A KR20070015031 A KR 20070015031A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
disposed
substrate support
electrode
Prior art date
Application number
KR1020060070779A
Other languages
English (en)
Other versions
KR101114131B1 (ko
Inventor
아자이 쿠마르
비린더 그레월
와이-팬 야우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070015031A publication Critical patent/KR20070015031A/ko
Application granted granted Critical
Publication of KR101114131B1 publication Critical patent/KR101114131B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

포토마스크의 제조에서 프로세스 통합을 위한 방법 및 장치가 개시된다. 일 실시예에서, 포토마스크의 제조에서 프로세스 통합을 위해 적합한 클러스터 툴은, 이에 결합된 적어도 하나의 하드 마스크 증착 챔버와 크롬을 에칭하도록 구성된 적어도 하나의 플라즈마 챔버를 갖는 진공 전달 챔버를 포함한다. 다른 실시예에서, 포토마스크의 제조에서 프로세스 통합을 위한 방법은, 제 1 처리 챔버에서 기판상에 하드 마스크를 증착하는 단계, 상기 기판상에 레지스트 층을 증착하는 단계, 상기 레지스트 층을 패턴화하는 단계, 제 2 챔버에서 상기 패턴화된 레지스트 층에 형성된 개구들을 통해 상기 하드 마스크를 에칭하는 단계, 및 제 3 챔버에서 상기 하드 마스크에 형성된 개구들을 통해 크롬층을 에칭하는 단계를 포함한다.

Description

듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및 이를 이용하여 포토마스크를 제조하기 위한 방법{CHEMICAL VAPOR DEPOSITION CHAMBER WITH DUAL FREQUENCY BIAS AND METHOD FOR MANUFACTURING A PHOTOMASK USING THE SAME}
도 1은 포토마스크를 제조하기 위한 방법의 일 실시예를 실시하기에 적합한 집적된 반도체 기판 처리 시스템(예, 클러스터 툴)의 일 실시예의 개념도를 도시한다.
도 2a-2b는 본 발명의 일 실시예에 따른 포토마스크를 제조하는 방법에 대한 흐름도의 일 실시예를 도시한다.
도 3a-3g는 도 2a-2b의 방법에 따라 포토마스크로 제조된 막 적층부의 개념적인 일련의 부분 단면도들을 도시한다.
도 3h-3j는 도 4a-4b의 방법에 따라 포토마스크로 제조된 막 적층부의 개념적인 일련의 부분 단면도들을 도시한다.
도 4a-4b는 포토마스크를 제조하는 다른 방법에 대한 흐름도의 일 실시예를 도시한다.
도 5는 도 1의 시스템에 사용될 수 있는 화학적 기상 증착 챔버의 일 실시예의 개념적인 단면도를 도시한다.
도 6은 도 5의 화학적 기상 증착 챔버의 기판 지지부 및 레티클 어댑터의 사 시도이다.
도 7은 도 1의 시스템에 사용될 수 있는 에칭 반응기의 일 실시예의 개념적 단면도를 도시한다.
도 8은 도 1의 시스템에 사용될 수 있는 애슁(ashing) 반응기의 일 실시예의 개념적 단면도를 도시한다.
※ 도면의 주요 부분에 대한 부호의 설명
100: 반도체 기판 처리 시스템 102: 팩토리 인터페이스
104: 전달 챔버 106: 로드 락 챔버들
110: 제 1 챔버 112: 제 2 챔버
114: 제 3 챔버 116: 제 4 챔버
126: 계측 모듈 140: 시스템 제어기
본 발명은 일반적으로 하드 마스크를 이용하는 포토마스크 제조를 위한 방법에 관한 것으로서, 포토마스크의 제조에서 프로세스 통합을 위한 클러스터 툴 및 방법에 관한 것이다.
집적회로들(IC) 또는 칩들의 제조시, 칩의 상이한 층들을 나타내는 패턴들은 칩 설계자에 의해 생성된다. 일련의 재사용 마스크들 또는 포토마스크들은 제조 프로세스 동안 반도체 기판으로 설계된 각각의 칩 층을 전달하기 위해 이러한 패턴 들로부터 생성된다. 마스크 패턴 생성 시스템들은 칩의 각 층의 설계를 각각의 마스크로 이미징하도록 정밀한 레이저들 또는 전자빔들을 사용한다. 그 다음, 마스크들은 각각의 층을 위한 회로 패턴들을 반도체 기판으로 전달하기 위해 포토그래픽 네거티브들처럼 사용된다. 이러한 층들은 프로세스들의 과정을 이용하여 형성되고 각각의 완성 칩을 포함하는 작은 트랜지스터들과 전기 회로들로 변환된다. 따라서, 마스크에서 임의의 결함들이 칩에 전달될 수 있고, 잠재적으로 성능에 악영향을 준다. 충분히 심각한 결함들은 마스크를 완전히 무용하게 할 수 있다. 통상적으로, 15 내지 30 마스크들의 세트가 칩을 구성하는데 사용되고 반복적으로 사용될 수 있다.
마스크는 통상적으로 일 측면상에 크롬층을 갖는 유리 또는 석영 기판이다. 마스크는 몰리브덴(Mb)으로 도핑된 실리콘 질화물(SiN) 층을 포함할 수도 있다. 크롬층은 반사-방지 코팅 및 감광성 레지스트로 커버된다. 패터닝 프로세스 동안, 회로 설계는 레지스트의 부분들을 자외선 광에 노출시킴으로써 마스크에 기록되고, 노출된 부분들은 현상 용액에서 용해될 수 있다. 그 다음, 레지스트의 용해된 부분이 제거되어 노출된 하부 크롬이 에칭되도록 한다. 에칭 프로세스는 레지스트가 제거된 지점들, 즉 노출된 크롬이 제거된 지점들에서 마스크로부터 크롬과 반사-방지층들을 제거한다.
패터닝을 위해 사용되는 다른 마스크는 석영 위상 시프트 마스크로서 공지되어 있다. 석영 위상 시프트 마스크는 패턴화된 크롬층을 통해 노출되는 석영 영역들의 인접 영역들을 교번시켜서 제조 동안 회로 패턴들을 기판에 전달하는데 사용 되는 광의 반파장과 거의 동일한 깊이로 에칭된다는 점을 제외하고, 전술한 마스크와 유사하다. 따라서, 기판상에 배치된 레지스트를 노출시키도록 광이 석영 위상 시프트 마스크를 통해 나타나기 때문에, 마스크의 하나의 개구를 통해 레지스트에서 충돌하는 광은 인접한 개구를 통해 관통하는 광에 대해 180도 위상 반전된다. 따라서, 마스크 개구의 에지에서 분산될 수 있는 광은 인접한 개구의 에지에서 분산되는 180도 광에 의해 상쇄되어, 레지스트의 미리 정해진 영역에서 보다 긴밀한 분포의 광을 초래한다. 보다 긴밀한 분포의 광은 더 작은 임계 치수들(Critical Dimensions: CDs)을 갖는 피쳐들의 기록을 용이하게 한다. 유사하게, 크롬이 없는 에칭 리소그래피를 위해 사용되는 마스크들은 레지스트를 순차적으로 이미징하기 위해 2개의 마스크들의 석영 부분들을 관통하는 광의 위상 시프트를 사용함으로써, 레지스트 패턴을 현상하는데 사용되는 광 분포를 개선할 수 있다.
포토레지스트 에칭 마스크는 포토마스크의 제조 동안 적어도 하나의 층의 플라즈마 에칭에서 사용된다. 포토레지스트는 에칭 프로세스 동안 약간 에칭되기 때문에, 에칭되는 포토마스크 층들의 임계 치수들의 치수 제어가 어렵다. 10㎛를 초과하는 임계 치수들을 갖는 구조물들에서, 이를 통해 구조물이 에칭되는 포토레지스트의 구멍의 에지를 따라 거칠기(roughness)는 크지 않아서 큰 관심을 유도하지 못한다. 그러나, 임계 치수들, 특히 포토마스크 자체의 임계 치수들은 약 5㎛ 이하로 감소되고 나노미터 영역으로 감소되기 때문에, 포토레지스트 구멍들의 에지 거칠기는 임계 치수 자체의 에지 거칠기와 동일한 크기를 갖고, 이에 따라 약간의 거칠기 변화도 임계 치수들이 성능조건(specification)을 벗어나게 할 수 있다. 더욱이, 포토레지스트 마스크를 이용하는 에칭은 비아(via)들을 에칭하기 때문에(에칭 동안 레지스트 구멍 확대), 약 5㎛ 미만의 임계 치수들을 제조하기 위한 포토레지스트 마스크들의 사용은 제조자에게 큰 모험이고, 이러한 문제들은 포토마스크의 에칭된 피쳐들의 불균일도를 초래하고 이에 대응하게 마스크를 이용하여 작은 임계 치수들을 갖는 피쳐들을 제조하는 능력을 감소시킨다. 마스크의 임계 치수들은 지속적으로 줄어들고 있기 때문에, 에칭 균일도의 중요성은 커진다.
따라서, 종래기술에서 포토마스크 제조를 위한 개선된 프로세스 및 장치에 대한 필요성이 있다. 개선된 포토마스크 제조 프로세스를 달성하기 위해, 포토마스크들의 제조에서 프로세스 통합을 위한 개선된 클러스터 툴 및 방법에 대한 필요성도 있다.
본 발명의 목적은 개선된 포토마스크 제조 프로세스를 위해 포토마스크들의 제조에서 프로세스 통합을 위한 개선된 클러스터 툴 및 방법을 제공하는 것이다.
포토마스크 제조를 개선하기 위해, 마스킹 기술을 이용하는 개선된 에칭 프로세스가 개발되었으며, 포토마스크에 형성된 피쳐들의 양호한 치수 제어를 달성한다. 개선된 에칭 프로세스의 장점들을 실현하기 위해, 제조 프로세스는 포토마스크 제조에 사용되는 종래의 툴들과 비교하여 증착되어 처리될 물질들의 부가층들을 요구한다. 그러나, 부가적인 툴들과 이에 의해 차지되는 공간은 소유 비용을 크게 증가시키기 때문에, 최소의 재정적 투자로 부가적인 모든 제조 단계들을 수행할 수 있는 시스템이 제공된다.
일 실시예에서, 포토마스크 제조 프로세스는 크롬을 포함하는 막 적층부(filmstack)상에 하드 마스크를 패턴화하는 단계, 상기 패턴화된 포토마스크를 통해 크롬층을 처리 챔버에서 에칭하는 단계, 및 상기 크롬층이 에칭된 처리 챔버내에서 상기 하드 마스크를 제거하는 단계를 포함한다.
다른 실시예들에서, 포토마스크의 제조에서 프로세스 통합을 위한 집적된 기판 처리 시스템(예, 클러스터 툴) 및 방법이 제공된다. 일 실시예에서, 포토마스크의 제조에서 프로세스 통합을 위해 적합한 클러스터 툴은 적어도 하나의 하드 마스크 증착 챔버와 크롬을 에칭하기 위해 구성된 적어도 하나의 플라즈마 챔버가 결합된 진공 전달 챔버를 포함한다.
다른 실시예에서, 포토마스크의 제조에서 프로세스 통합을 위한 방법은 클러스터 툴에 결합된 제 1 처리 챔버에서 기판상에 하드 마스크를 증착하는 단계, 상기 기판상에 레지스트 층을 증착하는 단계, 상기 레지스트 층을 패턴화하는 단계, 상기 클러스터 툴에 결합된 제 2 챔버에서 상기 패턴화된 레지스트 층에 형성된 개구들을 통해 상기 하드 마스크를 에칭하는 단계, 및 상기 클러스터 툴에 결합된 제 3 챔버에서 상기 하드 마스크에 형성된 개구들을 통해 크롬층을 에칭하는 단계를 포함한다. 본 발명의 추가적인 실시예에서, 상기 하드 마스크는 산소 함유 가스로부터 형성된 플라즈마를 이용하여 제 2 챔버 또는 제 3 챔버 중 적어도 하나에서 제거된다.
본 발명의 기술들은 첨부된 도면들과 연계하여 이하의 상세한 설명을 고려함 으로써 용이하게 이해될 수 있다.
이해를 돕기 위해, 도면들에 공통인 동일한 엘리먼트들을 지칭하기 위해 가능한 동일한 도면 부호들이 사용되었다. 일 실시예의 엘리먼트들과 특징들은 추가적인 인용 없이 다른 실시예들에 바람직하게 포함될 수 있다는 것을 고려한다.
그러나, 첨부된 도면들은 본 발명의 예시적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로서 간주되어서는 안되며, 본 발명은 다른 동일한 효과적인 실시예들에 적용될 수 있음을 유의해야 한다.
본 발명의 실시예들은 포토마스크 제조를 위한 개선된 프로세스를 포함하고, 포토마스크들의 제조에서 프로세스 통합을 위한 개선된 클러스터 툴 및 방법을 포함한다. 포토마스크 제조 방법은 포토마스크로 처리되는 막 적층부상에 초박막 하드 마스크를 형성하는 단계를 포함한다. 상기 막 적층부는 일반적으로 크롬 함유층 및 석영층을 포함한다. 상기 막 적층부는 몰리브덴을 함유한 층과 같은 광-감쇠층을 부가적으로 포함할 수 있다. 일 실시예에서, 상기 하드 마스크 물질은 석영 및/또는 크롬 함유 층들과 같이 에칭되는 하부층에 대한 높은 선택도를 가진 물질로부터 선택될 수 있다. 다른 실시예에서, 하드 마스크 물질은 상기 하드 마스크를 통해 에칭되는 하부층의 에칭 속도(etch rate)에 상당하는 에칭 속도를 갖는 물질로부터 선택될 수 있다. 본 발명의 하드 마스크들은 본 발명에서 기술되는 화학제들을 이용하여 측방향으로 에칭되지 않기 때문에, 하드 마스크에 형성된 개구들의 치수 안정성이 5㎛ 미만 크기의 임계 치수들을 갖고 나노미터 영역으로 정밀하게 제조되는 포토마스크 구조물들을 허용한다.
도 1은 본 발명의 포토마스크를 제조하기 위한 방법의 일 실시예를 실시하기에 적합한 예시적인 집적된 반도체 기판 처리 시스템(예, 클러스터 툴)(100)의 개념적인 평면도를 도시한다. 시스템(100)은 진공-기밀 중앙 전달 챔버(104), 입력/출력 모듈(예, 팩토리 인터페이스)(102), 및 시스템 제어기(140)를 예시적으로 포함한다. 일 실시예에서, 전달 챔버(104)는 다수의 처리 챔버들과 적어도 하나의 로드 락 챔버(106)(도 1에 2개가 도시됨)를 포함하고, 이들은 중앙 전달 챔버(104)의 둘레 주위에 결합된다.
로드 락 챔버들(106)은 팩토리 인터페이스(102)의 실질적인 대기 환경과 전달 챔버(104)의 진공 환경 사이에서 기판들을 전달하는데 사용된다. 전달 챔버(104)는 로드 락 챔버들(106), 및 전달 챔버(104)에 결합된 다양한 처리 챔버들 사이에서 기판들을 전달하도록 구성되는 그 내부에 배치된 진공 로봇(130)을 구비한다.
전달 챔버(104)에 결합된 처리 챔버들은 일반적으로 하드 마스크를 에칭하도록 구성된 적어도 하나의 에칭 챔버, 크롬층을 에칭하도록 구성된 적어도 하나의 에칭 챔버, 및 적어도 하나의 화학적 기상 증착 챔버를 포함한다. 일 실시예에서, 적어도 하나의 화학적 기상 증착 챔버와 에칭 챔버들, 및/또는 다른 처리 챔버는 산소 함유 가스로부터 형성된 플라즈마에 노출됨으로써 하드 마스크를 제거하는 것과 같은, 애슁 프로세스를 수행할 수 있다. 도 1에 도시된 실시예에서, 4개의 처리 챔버들은 전달 챔버(104)에 결합되고, 하드 마스크 물질을 증착하도록 구성된 제 1 챔버(110), 상기 하드 마스크 물질을 에칭하도록 구성된 제 2 챔버(112), 크 롬을 에칭하도록 구성된 제 3 챔버(114), 및 상기 하드 마스크 물질을 제거하도록 구성된 제 4 챔버(116)를 포함한다. 본 발명에 사용하기에 적합한 에칭 챔버들과 애슁 챔버들의 예들은 캘리포니아 산타클레라의 어플라이드 머티어리얼스로부터 이용가능한 TETRA®, TETRA®Ⅱ, DPS®Ⅱ HT, 및 AXIOM™ 처리 챔버들을 포함한다. 처리 챔버들(110, 112, 114, 116)의 각각의 예시적인 실시예들의 상세한 설명들은 도 5-7을 참조로 이하에서 논의된다.
일 실시예에서, 팩토리 인터페이스(102)는 계측 모듈(126), 적어도 하나의 전단 개방 통합 포드(Front Opening Unified Pod: FOUP)(108)를 수용하는 적어도 하나의 도킹 스테이션, 및 적어도 하나의 기판 전달(FI) 로봇(132)을 포함한다. 2개의 FOUP들(108)이 도 1에 예시된 실시예에 도시된다. 계측 모듈(126)은 기판상에 형성된 구조물들의 임계 치수들을 측정하기 위해 적합한 적어도 하나의 비파괴 측정 기술을 사용한다. 본 발명의 장점이 제공될 수 있는 하나의 적합한 계측 모듈은 임계 치수들을 선택적으로 측정하고, 캘리포니아 밀리피타스에 위치한 Nanometrics로부터 이용가능하다. 임계 치수들을 측정하기 위해 적합한 다른 측정 툴들이 대안적으로 사용될 수 있다는 것을 고려한다. FI 로봇(132)은 FOUP들(108), 계측 모듈(126), 및 로드 락 챔버들(106) 간에 전처리 및 후처리되는 기판들을 전달하도록 구성되며, 로봇들의 운동 범위를 증가시키도록 트랙(118)을 따라 위치설정될 수 있다.
선택적으로, 계측 모듈(126)은 예를 들어 처리 챔버들(110, 112, 114, 116) 중 하나를 대신하여 전달 챔버(104)에 직접 결합되거나, 전달 챔버(104)의 다른 면 (facet)에 결합될 수 있다. 이러한 구성은 진공 환경 외부로 기판을 전달할 필요 없이 임계 치수 데이터를 수집하는 것을 용이하게 한다. 또한, 2개 이상의 계측 모듈들(126)이 사용될 수 있고, 적어도 하나의 계측 모듈이 시스템(100)의 대기 및 진공 측면들에 위치될 수 있다는 것이 고려된다.
시스템 제어기(140)는 집적된 처리 시스템(100)에 결합되어 제어한다. 시스템 제어기(140)는 시스템(100)의 챔버 및 장치의 직접 제어를 이용하거나, 이러한 챔버 및 장치와 연동되는 컴퓨터들(또는 제어기들)을 제어함으로써, 시스템(100) 동작의 모든 부분들을 제어한다. 동작시, 시스템 제어기(140)는 시스템(100)의 성능을 최적화하고 포토마스크 CD들의 설계 공차들을 유지하도록 돕기 위해 계측 모듈(126)로부터 달성되는 데이터의 앞먹임(feedforward) 및/또는 되먹임(feedback)을 통해 데이터를 수집할 수 있다.
시스템 제어기(140)는 일반적으로 중앙 처리 유닛(CPU)(142), 메모리(144), 및 지원 회로들(146)을 포함한다. CPU(142)는 산업적인 설정에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(146)은 전형적으로 CPU(142)에 결합되고 캐쉬, 클럭 회로들, 입력/출력 서브시스템들, 전원들 등을 포함할 수 있다. CPU(142)에 의해 실행될 때 소프트웨어 루틴들은 CPU를 특정 목적의 컴퓨터(제어기)(140)로 변환시킨다. 소프트웨어 루틴들은 시스템(100)으로부터 떨어져 위치된 제 2 제어기(미도시)에 의해 저장 및/또는 실행될 수도 있다.
도 2a-2b는 본 발명의 일 실시예에 따라 도 3a-3g에 도시된 바와 같이 포토 마스크(320)를 제조하는 방법(200)에 대한 흐름도의 일 실시예를 도시한다. 일반적으로, 상기 방법(200)은 전형적으로 소프트웨어 루틴으로서 메모리(144)에 저장된다. 본 발명의 방법(200)은 소프트웨어 루틴으로서 구현되는 것으로 논의되지만, 본 발명에 개시된 방법 단계들의 일부 또는 전부가 소프트웨어 제어기 뿐만 아니라 하드웨어에서 수행될 수 있다. 이와 같이, 본 발명은 컴퓨터 시스템에서 실행디는 소프트웨어, 특정 애플리케이션 집적회로로서 하드웨어 또는 다른 형태의 하드웨어, 또는 소프트웨어 및 하드웨어의 조합으로 구현될 수 있다.
상기 방법(200)은 기판(122)이 FOUP들(108) 중 하나로부터 로드 락 챔버들(106) 중 하나를 통해 제 1 처리 챔버(110)로 전달되는 단계(202)에서 시작된다. 기판(122)은 제조되는 포토마스크 구조물의 막 적층부(300)를 일반적으로 포함한다. 막 적층부(300)는 석영(즉, 이산화 실리콘(SiO2)) 층(302)과 같은 광학적으로 투명한 실리콘 기질의 물질을 포함하고, 석영층(302)의 표면상에 패턴화된 마스크를 형성하는 포토마스크 물질로서 공지된 불투명 광-차폐 크롬층(304)을 갖는다. 크롬층(304)은 크롬 및/또는 크롬 옥시니트라이드일 수 있다. 기판(122)은 도 3a에 도시된 바와 같이, 석영층(302)과 크롬층(304) 사이에 삽입된 몰리브덴(Mo) 또는 몰리브덴 실리콘(MoSi)으로 도핑된 실리콘 질화물(SiN)과 같은 감쇠층(306)(가상선으로 도시됨)을 포함할 수도 있다.
단계(204)에서, 하드 마스크 층(306)은 도 3b에 도시된 바와 같이, 제 1 처리 챔버(110)에서 수행되는 화학적 기상 증착 프로세스를 이용하여 기판(122)상에 증착된다. 하드 마스크 층(306)은 이에 제한됨이 없이 약 50Å 내지 약 1000Å의 두께를 갖는다. 하드 마스크 층(306)은 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고실리콘(silicon-rich) 산화물, 고실리콘 질화물, 고실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 실리콘 카바이드일 수 있고, 다른 적절한 물질들 중에서 SiC, SiCH, SiCOH, SiCNH, SiCONH, 다이어몬드형 탄소, 탄소, 텅스텐, SiO2, 및 Si3N4를 포함할 수 있다. 하드 마스크 층(306)은 α-탄소로 지칭되는 고온 무기 탄소 물질일 수도 있다. α-탄소 물질을 증착하기 위한 하나의 프로세스는 Advanced Patterning Film(AFM™)이란 명칭으로 어플라이드 머티어리얼스로부터 이용가능하다. 다른 α-탄소 물질은 2000년 6월 8일자로 제출된 미국특허출원 일련번호 09/590,322에 개시되어 있다. α-탄소 물질은 막 적층부(300)에 사용되는 다른 물질들에 대한 α-탄소 물질의 에칭 선택도를 개선하기 위해 질소(N2)로 추가로 도핑될 수 있다.
일 실시예에서, 하드 마스크 층(306)은 하나 이상의 수소탄소 화합물들과 불활성 가스를 포함하는 가스 혼합물을 가열하여 가스 혼합물에서 하나 이상의 수소탄소 화합물들을 열적으로 분해시킴으로써 증착되어 비정질 탄소층을 형성한다. 적절한 수소탄소 화합물들은 일반식 CxHy를 갖는 가스들을 포함하고, 여기서 x는 2 내지 4 범위이고 y는 2 내지 10 범위이다. 상기 가스 혼합물은 약 100℃ 내지 약 700℃의 온도로 가열될 수 있다. 증착 동안, 바이어스 전력은 하부 막에 대한 하드 마스크 층(306)의 접착을 개선하기 위해 증착된 물질의 응력을 제어하도록 구성 될 수 있다. 특히, 포토마스크의 임계 치수들이 45nm에 근접하기 때문에, 에칭 바이어스를 촉진시키는 하드 마스크 층(306)의 나쁜 접착도로 인해 마스킹 물질로부터 포토마스크로 바람직하지 않은 CD들의 변화가 생길 것이다.
일 실시예에서, 2개의 전원들로부터 공급되는 상이한 주파수들의 바이어스 전력은 증착된 물질의 응력을 처리하도록 증착 동안 제공되어, 하부 막에 대한 하드 마스크 층(306)의 접착을 개선할 수 있다. 특히, 포토마스크의 임계 치수들이 45nm 범위에 근접함에 따라, 에칭 바이어스를 촉진시키는 하드 마스크 층(306)의 나쁜 접착성으로 인해 마스크 물질로부터 포토마스크로 CD들의 허용할 수 없는 변화가 생긴다. 예를 들어, 약 1000와트까지의 전체 바이어스 전력이 약 2 내지 약 27㎒의 주파수 범위에서 제공될 수 있다. 고주파수 전원과 저주파수 전원 사이의 전력 비율은 100%에서 약 0% 범위, 및 약 0%에서 약 100% 범위일 수 있다. 또한, 주파수는 막 적층부 조성, 증착되는 하드 마스크 물질, 및 증착된 하드 마스크 막에서 목표된 응력 레벨에 따라, 보다 높은 주파수 및/또는 보다 낮은 주파수로 조정될 수 있다는 것을 고려한다.
일 실시예에서, 하드 마스크 층(306)은 리소그래픽 패터닝 프로세스 동안 광의 반사를 제어하는데 사용되는 유전체 반사-방지 코팅(DARC) 층을 포함할 수 있다. 전형적으로, DARC 층은 이산화 실리콘(SiO2), 실리콘 옥시니트라이드(SiON), 실리콘 질화물(Si3N4) 등을 포함한다. 도시된 일 실시예에서, 하드 마스크 층은 α-탄소 막 및 약 1800Å의 결합된 두께를 가진 DARC 층을 포함한다.
단계(206)에서, 상부에 배치된 하드 마스크 층(306)을 갖는 기판(122)은 제 1 처리 챔버(110)로부터 제거되어 로드 락 챔버들(106) 중 하나를 통해 FOUP들(108) 중 하나로 전달된다. 단계(208)에서, 기판(122)은 종래기술에 공지된 바와 같이, 하드 마스크 층(306)상에 형성된 패턴화된 포토레지스트 마스크(308)를 갖도록 시스템(100)으로부터 제거된다. 단계(210)에서, 상부에 배치된 패턴화된 포토레지스트 마스크(308)를 갖는 기판(122)은 도시된 바와 같이, 시스템(100)으로 리턴된다.
선택적으로, 상부에 배치된 패턴화된 포토레지스트 마스크(308)를 갖는 기판(122)은 단계(212)에서 FOUP(108)로부터 계측 모듈(126)로 전달될 수 있다. 계측 모듈(126)에서, 포토레지스트 마스크(308)를 규정하는 구조물들의 치수들이 단계(214)에서 측정된다. 측정을 수행하기 위해, 측정 툴은 분광법, 간섭법, 분산법(scatterometry), 반사법, 타원편광법 등과 같은 적어도 비파괴 광학 측정 기술들을 사용할 수 있다. 측정된 치수들은 지형(topographic) 치수들, 임계 치수들(CDs), 두께, 프로파일 각도 등을 포함할 수 있다. 본 발명에서 "임계 치수들"이란 용어는 이를 통해 하드 마스크 층(306)이 에칭되는 개구들을 규정하는 패턴화된 마스크(308)의 구조물의 최소 폭들을 지칭한다. 기판들의 배치(batch)의 각 기판상에서 미리 규정된 주기로 수행될 수 있다. 일 실시예에서, 계측 모듈(126)은 각각의 기판(122)상에서 포토레지스트 마스크(308)의 임계 치수 측정들을 수행한다. 통계적으로 유효한 CD 측정을 달성하기 위해 기판의 다수의 영역들에서 측정들이 수행될 수 있다. 이러한 측정들의 결과값들은 트리밍(trimming) 프로세스의 수단 을 결정하도록 집적된 반도체 기판 처리 시스템에서 사용될 수 있고, 포토레지스트 마스크 형성 프로세스를 변경하기 위한 되먹임(feedback) 정보로서 사용되거나, 프로세스 성능, 품질 제어를 모니터링하는 목적 또는 다른 목적으로 크롬 에칭 프로세스를 변경하기 위한 앞먹임(feedforward) 정보로서 사용될 수 있다. 포토레지스트 패턴화된 마스크들의 사전-트리밍 측정들을 이용하여 에칭된 피쳐들의 치수들을 제어하기 위한 방법들의 예들은 공동 출원된 2003년 5월 1일자로 제출된 미국특허출원 일련번호 10/428,145 및 2003년 9월 19일자로 제출된 미국특허출원 일련번호 10/666.317에 개시되어 있다. 본 발명에 기술되는 임의의 에칭 단계들 이후에 전술한 바와 같이 CD 측정들이 수행될 수 있다는 것이 고려된다.
단계(216)에서, 상부에 배치된 패턴화된 포토레지스트 마스크(308)를 갖는 기판(122)은 로드 락 챔버들(106) 중 하나를 통해 FOUP(108)로부터(또는 계측 모듈(126)로부터) 제 2 처리 챔버(112)로 전달된다. 단계(218)에서, 하드 마스크 층(306)은 도 3d에 도시된 것처럼, 에칭 마스크로서 패턴화된 포토레지스트 마스크(308)를 이용하여 에칭된다.
하드 마스크 층(306)이 SiON으로부터 형성되는 일 실시예에서, 20 내지 200sccm의 유속에서 테트라플루오라이드(CF4)를 제공하고 20 내지 200sccm의 유속에서 아르곤(Ar)을 제공하며(즉, 1:10 내지 10:1 범위의 CF4:Ar 유속), 200W 내지 1500W의 전력을 유도성 결합된 안테나에 인가하고, 20W 내지 150W의 캐소드 바이어스 전력을 인가하며, 2mTorr 내지 20mTorr의 처리 챔버 압력에서 50℃ 내지 200℃ 의 웨이퍼 온도를 유지함으로써, 하드 마스크 층(306)이 에칭될 수 있다. 하나의 프로세스 수단은 120sccm의 유속에서 CF4, 120sccm의 속도에서 Ar을 제공하고(즉, 약 1:1의 CF4:Ar 유속), 360W의 전력을 안테나에 인가하며, 60W의 바이어스 전력을 인가하고, 80℃의 웨이퍼 온도를 유지하며, 4mTorr의 압력을 유지한다.
하드 마스크 층(306)이 탄소 기질의 물질로부터 형성되는 다른 실시예에서, 20 내지 200sccm의 유속에서 수소 브롬화물(HBr)을 제공하고, 10 내지 40sccm의 유속에서 산소(O2)를 제공하며(즉, 1:2 내지 20:1 범위의 HBr:O2 유속), 20 내지 200sccm의 유속에서 아르곤(Ar)을 제공하고, 200W 내지 1500W의 전력을 유도성 결합된 안테나에 인가하며, 50W 내지 200W의 캐소드 바이어스 전력을 인가하고, 2mTorr 내지 20mTorr의 처리 챔버 압력에서 50℃ 내지 200℃의 웨이퍼 온도를 유지함으로써, 하드 마스크 층(306)이 에칭될 수 있다. 하나의 프로세스 수단은 60 sccm의 유속에서 HBr, 26 sccm의 속도에서 O2를 제공하고(즉, 약 2.3:1의 HBr:O2 유속), 60 sccm의 유속에서 Ar을 제공하며, 600W의 전력을 안테나에 인가하고, 60W의 바이어스 전력을 인가하며, 80℃의 웨이퍼 온도를 유지하고, 4mTorr의 압력을 유지한다.
선택적인 단계(220)에서, 포토레지스트 마스크(308)는 도 3e에 도시된 것처럼, 하드 마스크 층(306)으로부터 제거된다. 일 실시예에서, 포토레지스트 마스크(308)는 단계(218)에서 하드 마스크 층(306)의 에칭과 동시에 제거될 수 있다. 다른 실시예에서, 포토레지스트 마스크(308)는 하드 마스크 에칭 단계(218)이 수행되 었던 제 2 처리 챔버(112)에서 인-시튜(in-situ)로 제거될 수 있다. 예를 들어, 포토레지스트 마스크(308)는 산소 함유 플라즈마에 노출됨으로써 하드 마스크 에칭 챔버에서 인-시튜로 제거될 수 있다. 다른 실시예에서, 포토레지스트 마스크(308)는 처리 챔버에서 함께 형성되거나 원격 플라즈마 소스에 의해 제공될 수 있는 산소 함유 플라즈마를 제공하는 스트립핑(stripping) 챔버로서 구성된 제 4 처리 챔버(116)에서 제거될 수 있다.
포토레지스트 마스크(308)는 막 적층부(300)상에 선택적으로 남겨질 수 있다. 포토레지스트 마스크(308)는 순차적인 에칭 프로세스들 동안 점차 소모되거나, 이하에서 기술되는 것처럼, 단계(226)에서, 상기 패턴화된 하드 마스크 층(306)과 함께 제거될 것이다.
단계(222)에서, 기판(112)은 제 2 처리 챔버(112)로부터 제 3 처리 챔버(114)로 전달되고, 여기서 에칭 마스크로서 패턴화된 하드 마스크 층(306)을 이용하여 단계(224)에서 크롬층(304)이 에칭된다. 도 3f는 에칭되는 크롬층(304)을 갖는 막 적층부(300)를 도시한다. 일 실시예에서, 에칭 단계(224)는 처리 챔버(114)로 도입되는 하나 이상의 할로겐 함유 처리 가스들로부터 형성된 플라즈마를 사용한다. 예시적인 프로세스 가스들은 다른 것들 중에서 불화탄소 가스, Cl2, HBr, HCl, CF4, 및 CHF3 중 하나 이상을 포함할 수 있다. 처리 가스는 또한 O2를 포함할 수 있다. 처리 가스는 He, Ar, Xe, Ne 및 Kr와 같은 불활성 가스를 더 포함할 수 있다.
다른 실시예에서, 크롬층(304)은 2 내지 50 sccm(standard cubic centimeters per minute)의 유속에서 CF4를 제공하고 10 내지 50 sccm의 유속에서 CFH3를 제공함으로써 단계(224)에서 에칭된다. 하나의 특정 프로세스 수단은 9 sccm의 유속에서 CF4를 제공하고 26 sccm의 유속에서 CHF3를 제공한다. 처리 챔버에서 압력은 약 40 mTorr 미만으로 제어되고, 일 실시예에서 약 1.5 내지 15 mTorr로 제어된다.
크롬 에칭 단계(224)의 다른 실시예에서, 약 600W 미만의 펄스화된 바이어스 전력이 지지 페디스털(124)에 인가되어 기판(122)을 바이어스시킨다. 제 1 예에서, 기판(112)은 약 150W 미만의 펄스화된 RF 전력으로 바이어스되고, 제 2 예에서 기판(112)은 약 10W의 펄스화된 RF로 바이어스된다. 바이어스 전력은 예를 들어, 약 1 내지 약 10㎑ 범위의 주파수와 약 10 내지 약 95%의 듀티 사이클로 상술한 바와 같이 주파수 및 듀티 사이클로 펄스화될 수 있다. 펄스화된 바이어스 전력은 DC 및/또는 RF일 수 있다. 다른 실시예에서, 바이어싱 소스(140)는 약 80 내지 약 95%의 듀티 사이클로 약 2 내지 약 5㎑의 주파수에서 약 10 내지 약 150W의 펄스화된 RF 전력을 제공한다. 또 다른 실시예에서, 상기 바이어싱 소스는 약 10W의 펄스화된 RF 전력을 제공한다.
단계(224) 동안, 처리 가스들로부터 형성된 플라즈마는 플라즈마 전원(112)으로부터 안테나(110)로 약 250 내지 약 600W의 RF 전력을 인가함으로써 유지된다. 플라즈마는 임의의 수의 방법들에 의해 점화될 수 있다는 것을 고려한다.
패턴화된 하드 마스크 층(306)을 통해 기판(122)상에 노출된 크롬층(304)은 종점에 도달할 때까지 에칭된다. 종점은 시간, 광학적 간섭법, 챔버 가스 방출 분광법 또는 다른 적절한 방법들에 의해 결정될 수 있다.
단계(226)에서, 하드 마스크 층(306)은 포토마스크(320)을 형성하도록 제거된다. 일 실시예에서, 하드 마스크 층(306)은 단계(242)에서 크롬층(304)의 에칭과 동시에 제거될 수 있다. 예를 들어, SiON으로부터 제조된 하드 마스크 층(306)은 크롬층의 속도에 근접하는 속도에서 에칭될 것이다. 따라서, 크롬층의 두께보다 다소 더 크거나 동일한 두께로 SiON 하드 마스크 층을 증착함으로써, 크롬층을 제거하는 동안 실질적으로 모든 SiON 하드 마스크 층이 제거될 것이다. 짧은 과도에칭 주기가 잔류 하드 마스크 층(306)을 제거하는데 요구될 수 있다.
다른 실시예에서, 하드 마스크 층(306)은 에칭 단계(224)가 수행된 제 3 처리 챔버(114)에서 인-시튜로 제거될 수 있다. 예를 들어, 탄소 물질로부터 제조되는 하드 마스크 층(306)은 제 3 처리 챔버(114)에서 인-시튜로 산소 함유 플라즈마에 노출된 다음 후속하는 크롬층 에칭에 의해 제거될 수 있다.
다른 실시예에서, 하드 마스크 층(306)은 전달 단계(228) 이후에 제 4 처리 챔버(116)에서 제거될 수 있다. 이러한 실시예에서, 제 4 처리 챔버(116)는 처리 챔버내에 형성되거나 원격 플라즈마 소스에 의해 제공될 수 있는 산소 함유 플라즈마를 제공하는 스트립핑 챔버로서 구성될 수 있다.
α-탄소 하드 마스크 층(308)을 갖는 실시예에서, 하드 마스크 층(308)은 산소 함유 플라즈마를 이용하여 막 적층부(300)로부터 제거(또는 스트립핑)될 수 있 다. 다른 실시예에서, α-탄소 하드 마스크 층(308)은 수소 브롬화물 및 산소로부터 형성된 플라즈마를 이용하여 제거된다. HBr:O2 유속은 약 1:10 내지 10:1 범위일 수 있다. 또한, 아르곤이 플라즈마내에 존재할 수 있다. 다른 실시예에서, 200 내지 1000W의 소스 전력과 0 내지 300W의 바이어스 전력으로 활성화되며, 기판의 온도는 약 20℃ 내지 약 80℃로 유지된다. 선택적으로, 수소(H2), 또는 아르곤(Ar)과 같은 희석 가스와 산소의 혼합물로부터 형성되는 플라즈마는 α-탄소 막 하드 마스크 층(308)을 제거하는데 사용될 수 있다.
하드 마스크 제거 단계(226) 이후, 기판(122)(현재 포토마스크(320)로 제조됨)은 단계(230)에서 로드 락 챔버(106)를 통해 팩토리 인터페이스(102)로 전달된다. 팩토리 인터페이스(102)에서, 기판(122)은 단계(234)로서 FOUP들(108) 중 하나로 로딩되거나, 선택적 단계(232)로서 계측 모듈(126)로 로딩된다.
계측 모듈(126)에서, 포토마스크(320)의 임계 치수들(CDs)이 측정될 수 있다. CD 측정 정보는 포토마스크 처리를 위해 도입되는 기판들상의 포토레지스트 패터닝을 변경하기 위한 되먹임으로서 사용될 수 있고, 포토마스크(320)를 사용하는 제조 기판들상에서 리소그래픽 처리 및/또는 에칭을 변경하기 위한 앞먹임으로서 사용될 수 있으며, 및/또는 다른 것들 중에서 품질 및/또는 프로세스 제어를 모니터링하기 위해 사용될 수 있다. 계측 단계(232) 이후, 기판은 단계(232)에서 FOUP들(108) 중 하나로 로딩된다.
선택적으로, 기판(112)은 본 발명의 다른 실시예에 따라 도 3g-3j에 도시된 것처럼, 위상 시프트 포토마스크(340)를 제조하는 방법(400)의 일 실시예를 도시하는 도 4a-4b의 흐름도에서 나타낸 것처럼 추가로 처리될 수 있다. 방법(400)은 상기 방법(200)에 기술된 과정의 하드 마스크 스트립핑 단계(222) 또는 계측 단계(230) 이후에 시작될 수 있다.
방법(400)은 단계(402)에서 FOUP들(108) 중 하나로 기판을 전달함으로써 시작된다. 단계(404)에서, 기판(122)은 도 3h에 도시된 바와 같이, 막 적층부(300)상에 형성된 제 2 패턴화된 포토레지스트 마스크(320)를 갖도록 시스템(100)으로부터 제거된다. 단계(406)에서, 상부에 배치된 패턴화된 포토레지스트 마스크(320)를 갖는 기판(122)이 시스템(100)으로 리턴된다.
선택적으로, 상부에 배치된 패턴화된 포토레지스트 마스크(320)를 갖는 기판(122)은 단계(408)에서 FOUP(108)로부터 계측 모듈(126)로 전달될 수 있다. 계측 모듈(126)에서, 포토레지스트 마스크(320)를 규정하는 구조물들의 치수들은 단계(410)로서 측정된다. 포토레지스트 마스크(320)의 CD 측정들은 상술한 바와 같이 수행되고 사용될 수 있다.
단계(412)에서, 그 상부에 배치된 패턴화된 포토레지스트 마스크(320)를 갖는 기판(122)은 로드 락 챔버들(106) 중 하나를 통해 FOUP(108)(또는 계측 모듈(126))로부터 처리 챔버들(112, 114, 116) 중 하나로 전달된다. 단계(414)에서, 석영층(302)은 에칭 마스크로서 패턴화된 포토레지스트 마스크(320)를 이용하여 에칭된다.
일 실시예에서, 에칭 단계(414)는 하나 이상의 플루오르화 처리 가스들로부 터 형성된 플라즈마를 사용한다. 예시적인 처리 가스들은 다른 것들 중에서 CF4 및 CHF3를 포함할 수 있다. 처리 가스는 He, Ar, Xe, Ne, 및 Kr과 같은 불활성 가스를 더 포함할 수 있다. 석영층(302)의 에칭 동안, 기판에 인가되는 바이어스 전력은 상술한 바와 같이 펄스화될 수 있다.
도 3i에 도시된 에칭된 석영 트랜치(322)의 깊이(330)가 석영 위상 시프트 마스크와 함께 사용되기 위한 광의 미리 규정된 파장에 대해 석영층(302)을 통과하는 180도 위상 시프트 길이와 거의 동일하도록 석영 에칭 단계(414)의 종점이 선택된다. 전형적인 파장들은 193nm 및 248nm이다. 따라서, 깊이(322)는 전형적으로 약 172nm 또는 240nm이지만, 다른 깊이들이 상이한 리소그래픽 광 파장들로 사용하기 위한 마스크들에 대해 사용될 수 있다. 석영 트랜치(322)가 단계(414)에서 에칭된 이후, 포토레지스트 마스크(320)는 잔류하는 막 적층부(300)가 도 3j에 도시된 바와 같이 석영 위상 시프트 마스크(320)를 형성하도록 예를 들어 애슁에 의해 단계(416)에서 제거된다.
포토레지스트 마스크 제거 단계(416) 이후, 기판(122)(현재, 포토마스크(340)로 제조됨)은 단계(418)에서 로드 락 챔버(106)를 통해 팩토리 인터페이스(102)로 전달된다. 팩토리 인터페이스(102)에서, 기판(122)은 단계(424)에서 FOUP들(108) 중 하나로 로딩되거나, 선택적인 단계(230)에서 계측 모듈(422)로 로딩된다.
계측 모듈(126)에서, 포토마스크(340)의 임계 치수들(CDs)이 측정될 수 있 다. CD 측정 정보는 포토마스크 처리를 위해 도입되는 기판들상의 포토레지스트 패터닝을 변경하기 위한 되먹임으로서 사용될 수 있고, 포토마스크(340)를 사용하는 제조 기판들상에서 리소그래픽 처리 및/또는 에칭을 변경하기 위한 앞먹임으로서 사용될 수 있으며, 및/또는 다른 것들 중에서 품질 및/또는 프로세스 제어를 모니터링하기 위해 사용될 수 있다. 계측 단계(226) 이후, 기판은 단계(232)에서 FOUP들(108) 중 하나로 로딩된다.
도 5는 도 1의 시스템(100)에서 제 1 처리 챔버(110)로서 사용될 수 있는 화학적 기상 증착 챔버(500)를 도시한다. 챔버 몸체(502)에 결합된 챔버(500)는 처리 영역(512)내에 배치된 기판 지지부(518)를 갖는다.
예시적인 챔버 몸체(502)는 일반적으로 리드(lid)(506), 저면(508) 및 측벽들(510)을 포함한다. 챔버 몸체(502)를 통해 배치된 배기구(546)는 처리 영역(512)을 펌핑 시스템(530)에 결합시킨다. 전형적으로, 배기구(546)는 챔버 몸체(502)의 저면(508)을 통해 배치되지만, 챔버 몸체(502)의 다른 부분들에 위치될 수 있다. 펌핑 시스템(530)은 챔버 몸체(502)의 내부 영역(512)을 진공화시키고 증착 부산물들을 제거하며 챔버 몸체(502)내의 압력을 제어하기 위해 사용되는 스로틀 밸브 및 진공 펌프(미도시)를 일반적으로 포함한다.
가스 패널(526)로부터 챔버 몸체(502)의 처리 영역(512)으로 제공되는 가스의 균일한 분배를 제공하기 위해 샤워헤드(520)가 리드(506)에 결합된다. 탄소 함유 하드 마스크 층을 증착하기 위한 일 실시예에서, 가스 패널(526)은 하나 이상의 수소탄소 화합물들 또는 그 유도체들을 처리 챔버(500)에 제공한다. 부가적으로, 산소 함유 및/또는 불소 함유 화합물들이 사용될 수 있다. 수소탄소 화합물들은 선택적으로 질소를 포함하거나 암모니아와 같은 질소-함유 가스로 증착될 수 있다. 또한, 수소탄소 화합물들은 불소 및 산소와 같은 치환체(substituent)들을 가질 수 있다. 사용될 수 있는 하나의 수소탄소 화합물들 도는 그 유도체는 식 CAHBOCFD를 가지며, 여기서 A는 1 내지 24 범위이고, B는 0 내지 50 범위이며, C는 0 내지 10 범위이고, D는 0 내지 50 범위이며, B와 D의 합은 2 이상이다.
샤워헤드(520)는 RF 전원(522)과 정합 회로(524)에 결합된다. RF 전원(522)은 일반적으로 약 50㎑ 내지 약 13.56㎒ 범위의 주파수와 약 10,000W까지의 전력을 갖는 RF 신호를 생성할 수 있다. 일 실시예에서, RF 소스(522)는 약 600W의 전력에서 약 13.56㎒의 주파수로 샤워헤드(520)에 결합된 RF 신호를 생성한다.
기판 페디스털(캐소드)(124)는 제 2 정합 네트워크(142)를 통해 바이어싱 전원(140)에 결합된다. 바이어싱 전원(140)은 약 50㎑ 내지 약 100㎒의 주파수와 약 0 내지 약 10,000W의 전력을 갖는 신호를 제공한다. RF 소스(522)에 의해 샤워헤드(520)에 제공되는 RF 에너지는 플라즈마에서 가스들의 해리(dissociation) 및 이온화를 촉진시키는데 사용되고, 이는 일반적으로 증가된 증착 소도로 더 낮은 처리 온도들을 촉진시킨다. 챔버 몸체(502)로부터 RF 핫 샤워헤드(520)를 전기적으로 절연시키기 위해 샤워헤드(520)와 챔버 몸체(502)의 리드(506) 사이에 유전 절연체(538)가 배치된다. 플라즈마 강화 처리는 또한 부가적인 처리 유동성을 제공하고, 다양한 타입들의 증착 프로세스들을 위해 사용되도록 시스템(500) 능력을 제공한 다.
세정제 생성기(528)가 샤워헤드를 통해 처리 영역(512)에 결합될 수도 있다. 일 실시예에서, 세정제 생성기(528)는 챔버 컴포넌트들로부터 바람직하지 않은 증착과 다른 오염물들을 제거하는 원자 불소와 같은 세정제를 제공한다. 이러한 세정제 생성기는 Azte Coporation으로부터 이용가능하다.
기판 지지부(518)는 챔버 몸체(502)의 내부 영역(512)에 배치된다. 기판 지지부(518)는 처리 동안 기판(122)을 유지하는 레티클 어댑터(582), 기판 온도를 열적으로 제어하는데 사용되는 가열 엘리먼트(544), 처리 동안 기판을 바이어싱하기 위한 전극(590)을 포함한다. 가열 엘리먼트(544)는 다른 온도 제어 장치들 중에서 저항성 히터, 열 전달 유체를 유동시키기 위한 유체 도관, 저항성 가열 엘리먼트 또는 열전 소자일 수 있다. 일 실시예에서, 가열 엘리먼트(544)는 전원(548)에 결합된 저항성 히터이고, 약 100℃ 내지 약 500℃의 온도에서 기판(122)을 가열 및 유지시킬 수 있으며, 일 실시예에서 기판 온도는 약 450℃ 미만으로 유지된다.
전극(590)은 일반적으로 정합 네트워크(596)를 통해 한 쌍의 RF 바이어스 소스들(592, 594)에 결합된다. RF 바이어스 소스들(592, 594)은 일반적으로 약 50㎑ 내지 약 27㎒의 주파수와 약 0 내지 약 1,000W의 전력을 갖는 RF 신호를 생성할 수 있다. 정합 네트워크(596)는 RF 바이어스 소스들(592, 594)의 임피던스를 플라즈마 임피던스에 정합시킨다. 단일 공급부(598)는 두개의 RF 바이어스 소스들로부터의 에너지를 기판 지지부(518)에 배치된 전극(590)에 결합시킨다. 선택적으로, 각각의 RF 바이어스 소스(592, 594)는 개별적인 공급부를 통해 전극(590)에 결합될 수 있다.
레티클 어댑터(582)는 기판 지지부(518)상에 기판(122)을 유지시킨다. 레티클 어댑터(582)는 알루미늄 또는 알루미늄 산화물, 또는 다른 적절한 물질로부터 제조될 수 있다.
도 6은 레티클 어댑터(582)의 일 실시예의 사시도를 도시한다. 레티클 어댑터(582)는 일반적으로 캡쳐 링(602)과 커버 링(604)을 포함한다. 캡쳐 링(602)과 커버 링(604)은 함께 기판 수용 포켓(612)을 규정한다.
커버 링(604)은 일반적으로 증착으로부터 기판 지지부(518)의 상면을 커버 및 보호한다. 커버 링(604)은 리프트 핀들(608)이 이를 통해 선택적으로 돌출할 수 있도록 다수의 홀들(620)을 포함함으로써, 커버 링(604)으로부터 캡쳐 링(602)을 리프팅할 수 있다. 커버 링(604)은 기판 수용 포켓(612)의 하나의 경계를 제공하도록 기능하는 상승된 정렬 피쳐(610)와 상기 캡쳐 링이 하부 위치에 있을 때 캡쳐 링(602)과 맞물리는 정렬 피쳐를 갖는다.
캡쳐 링(602)은 기판 수용 포켓(612)의 일부분을 규정하는 내부 에지(614)를 갖는 일반적인 "C-형상"을 가진 아치형 베이스판(606)을 포함한다. 지지 리지들(616, 618)과 같은 하나 이상의 기판 지지부들이 내부 에지(614)상에 배치된다. 기판 지지 리지들(616, 618)은 리프트 핀들(608)에 의해 리프팅될 때 캡쳐 링(602)이 커버 링(604)으로부터 기판(122)을 리프팅하도록 한다. "C-형상" 베이스판(606)의 개방 단부(622)는 기판(122)과 상승된 캡쳐 링(602)이 상호교환되도록 로봇(미도시)의 블레이드를 용이하게 한다.
도 5를 다시 참조하면, 기판 지지부(518)는 샤워헤드(520)에 대해 기판 지지부(518)의 승강을 제어하는 리프트 메커니즘(552)에 결합된다. 기판 지지부(518)는 챔버 몸체(502)의 측벽들(510)에 배치된 기판 접속 포트(미도시)를 통해 기판 전달을 용이하게 하기 위해 리프트 메커니즘(552)에 의해 하강될 수 있다. 반대로, 기판 지지부(518)는 기판(122)과 샤워헤드(520) 사이의 갭(또는 간격)을 설정하도록 샤워헤드(520)를 향해 승강될 수 있다. 진공 누출을 방지하기 위해 리프트 메커니즘(552)과 챔버 저면(508) 사이에 벨로우즈(bellows)(550)가 결합된다.
동작시, 기판(122)은 기판 지지부(518)상의 처리 챔버(500)에 배치된다. 처리 가스는 샤워헤드(520)를 통해 가스 패널(526)로부터 챔버 몸체(502)로 도입된다. 일 실시예에서, RF 소스(522)는 13.56㎒에서 약 600W의 RF 전압을 샤워헤드(520)에 제공함으로써, 챔버 몸체(502) 내부에서 가스를 여기시키고 플라즈마(598)를 형성할 수 있다. RF 바이어스 소스(592)는 약 2㎒의 주파수에서 전력을 생성하도록 선택되고 RF 바이어스 소스(594)는 약 13.56㎒의 주파수에서 전력을 생성하도록 선택된다. RF 바이어스 소스들(592, 594)은 1:0 내지 0:1의 바이어스 소스(592) 대 바이어스 소스(594)의 미리 결정된 전력 비율로 약 1,000W까지의 총 RF 전력을 제공한다. 이러한 RF 바이어스 소스들(592, 594)은 기판을 셀프 바이어스시키고 플라즈마 외피(sheath)를 변조시키는 바이어스 전력을 제공한다. 바이어스 소스들(592, 594) 사이의 비율을 조절함으로써 플라즈마의 특성들을 제어하고, 이는 증착되는 막의 특성들이 제어될 수 있도록 한다. 예를 들어, SiON 또는 탄소 함유 하드 마스크 층은 증착된 막의 응력을 감소시키는 방식으로 증착될 수 있기 때문에, 크롬 함유층과 같은 하부층과 하드 마스크 막 사이의 접착을 개선시킨다. 듀얼 주파수 바이어스의 애플리케이션으로부터 장점을 갖도록 제공될 수 있는 하나의 탄소 함유 하드 마스크 층 증착 프로세스는 2005년 2월 24일자로 제출된 미국특허출원 일련번호 11/065,464에 기술되어 있으며, 이는 그 전체가 참조로 본 발명에 포함된다.
일 실시예에서, 전극(590)상의 주파수들은 전력공급되는 표면 상부의 플라즈마 방출시 강한 셀프-바이어싱 외피를 제공하기에 충분히 낮은 주파수가 되도록 선택된다. 제 1 주파수는 넓은 이온 에너지 분포(즉, 저주파수)를 제공한다. 제 2 주파수는 피크값으로 명확히 규정된 이온 에너지 분포(즉, 고주파수)를 제공한다. 전형적으로, 제 1 주파수는 그 사이클 시간이 외피에 있는 이온의 전이 시간보다 훨씬 더 크게 선택되는 반면, 제 2 주파수는 그 주기가 외피의 이온의 전이 시간에 근접하거나 능가하도록 선택된다. 이러한 주파수들은 또한 독립적으로 구동되는 전극(예, 샤워헤드(520))에 의해 제공되는 제 3 전원과 연계하여 사용될 때 플라즈마 이온화 및 해리를 위한 주요 전력을 제공하지 않도록 선택된다.
2개의 주파수 소스들의 조합된 인가 전압은 증착 프로세스를 구동하기 위해 사용되는 셀프 바이어싱된 DC 전위 뿐만 아니라 피크-대-피크 외피 전압을 제어하는데 사용된다. 두 주파수들의 혼합은 이러한 DC 전위에 의해 생성되는 평균 가속도에 대해 에너지 분포를 조정하는데 사용된다. 따라서, 상술한 바와 같이 듀얼 주파수 캐소드를 가진 플라즈마 강화 처리 챔버를 사용하면, 응력과 접착과 같은 막 특성들이 이러한 바이어스 주파수를 조정함으로써 제어되도록 하는 방식으로 플 라즈마 내의 이온 에너지 분포가 제어될 수 있다.
도 7은 처리 챔버들(712, 714, 716) 중 하나로서 사용하기 위해 적합한 에칭 반응기(700)의 일 실시예의 개념도를 도시한다. 본 발명에 개시된 특징들을 갖도록 사용하기 위해 제공될 수 있는 적절한 반응기들은 예를 들어, Decoupled Plasma Source(DPS®) Ⅱ 반응기, 또는 Tetra Ⅰ 및 Tetra Ⅱ 포토마스크 에칭 시스템들을 포함하며, 이들 모두는 캘리포니아 산타클레라의 어플라이드 머티어리얼스로부터 이용가능하다. 본 발명에 도시된 에칭 반응기(700)의 특정 실시예는 예시적인 목적들을 위해 제공되는 것이며 본 발명의 범주를 제한하는 것으로 사용되어서는 안된다.
에칭 반응기(700)는 일반적으로 전도성 몸체(벽)(704)내에 기판 페디스털(724)을 갖는 처리 챔버(702), 및 제어기(746)를 포함한다. 챔버(702)는 실질적으로 평탄한 유전체 실링(708)을 갖는다. 챔버(702)의 다른 변형예들은 다른 형태의 실링들, 예를 들어 돔-형상의 실링을 가질 수 있다. 안테나(710)는 실링(708) 상부에 배치된다. 안테나(710)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 엘리먼트들(2개의 동축 엘리먼트들(710a, 710b)가 도 7에 도시됨)을 포함한다. 안테나(710)는 제 1 정합 네트워크(714)를 통해 플라즈마 전원(712)에 결합된다. 플라즈마 전원(712)은 전형적으로 약 50㎑ 내지 약 13.56㎒ 범위의 조정가능한 주파수에서 약 3000와트(W)까지 형성할 수 있다. 일 실시예에서, 플라즈마 전원(712)은 약 300W 내지 약 600W의 유도성 결합된 RF 전력을 제공한다.
기판 페디스털(캐소드)(724)는 제 2 정합 네트워크(742)를 통해 바이어싱 전 원(740)에 결합된다. 바이어싱 전원(740)은 약 1 내지 약 10㎑ 범위의 조정가능한 펄스 주파수에서 약 0 내지 약 600W를 제공한다. 바이어싱 전원(740)은 펄스화된 RF 전력 출력을 형성한다. 선택적으로, 바이어싱 전원(740)은 펄스화된 DC 전력 출력을 형성할 수 있다. 바이어싱 전원(740)이 일정한 전력 출력을 제공할 수도 있다는 것을 고려한다.
일 실시예에서, 바이어싱 전원(740)은 약 10% 내지 약 95%의 듀티 사이클로 약 1 내지 약 10㎑의 주파수에서 약 700W 미만의 RF 전력을 제공하도록 구성된다. 다른 실시예에서, 바이어싱 전원(740)은 약 80% 내지 약 95%의 듀티 사이클로 약 2 내지 약 5㎑의 주파수에서 약 20W 내지 150W의 RF 전력을 제공하도록 구성된다.
DPS® Ⅱ 반응기의 일 실시예에서, 기판 지지 페디스털(724)은 정전 척(760)을 포함할 수 있다. 정전 척(760)은 적어도 하나의 클램핑 전극(732)을 포함하고, 척 전력공급기(766)에 의해 제어된다. 선택적 실시예들에서, 기판 페디스털(724)은 서셉터 클램프 링, 기계적 척 등과 같은 기판 유지 메커니즘들을 포함할 수 있다.
가스 패널(720)은 처리 챔버(702) 내부에 처리 가스들 및/또는 다른 가스들을 제공하도록 처리 챔버(702)에 결합된다. 도 7에 도시된 실시예에서, 가스 패널(720)은 챔버(702)의 측벽(704)에서 채널(718)에 형성된 하나 이상의 입구들(716)에 결합된다. 하나 이상의 입구들(716)은 예를 들어 처리 챔버(702)의 실링(708)과 같은 다른 지점들에 제공될 수 있음을 고려한다.
일 실시예에서, 가스 패널(720)은 입구들(716)을 통해 처리 챔버(702)의 내 부로 플루오르화 처리 가스를 제공하도록 적응된다. 처리 동안, 플라즈마는 처리 가스로부터 형성되고 플라즈마 전원(712)으로부터의 유동성 결합 전력을 통해 유지된다. 선택적으로, 플라즈마는 다른 방법들에 의해 원격으로 형성되거나 점화될 수 있다. 일 실시예에서, 가스 패널(720)로부터 제공되는 처리 가스는 적어도 CHF2 및/또는 CF4를 포함한다. 다른 플루오르화 가스들은 C2F, C4F6, C3F8, 및 C5F8 중 하나 이상을 포함할 수 있다.
챔버(702)의 압력은 스로틀 밸브(762)와 진공 펌프(764)를 이용하여 제어된다. 진공 펌프(764)와 스로틀 밸브(762)는 약 1 내지 약 20mTorr 범위의 챔버 압력들을 유지할 수 있다.
벽(704)의 온도는 벽(704)을 통해 연장되는 액체-함유 도관들(미도시)을 이용하여 제어될 수 있다. 벽 온도는 일반적으로 약 65℃에서 유지된다. 전형적으로, 챔버 벽(704)은 금속(예, 알루미늄, 스테인리스 스틸 등)으로부터 형성되고, 전기적 접지(706)에 결합된다. 처리 챔버(702)는 또한 프로세스 제어, 내부 진단,종점 검출 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템들은 지원 시스템들(754)로서 집합적으로 도시된다.
레티클 어댑터(782)는 기판(레티클 또는 다른 공작물과 같은)(722)을 기판 지지 페디스털(724)에 고정시키는데 사용된다. 레티클 어댑터(782)는 일반적으로 페디스털(724)의 하면(예, 정전 척(760))을 커버하도록 밀링된 하부(784), 및 기판(722)을 홀딩하도록 크기와 형상을 가진 개구(788)를 구비한 상부(786)를 포함한 다. 개구(788)는 일반적으로 페디스털(724)에 대해 실질적으로 중앙에 있다. 어댑터(782)는 일반적으로 폴리이미드 세라믹 또는 석영과 같은 에칭 저상성, 고온 저항성 물질의 단일 피스(piece)로부터 형성된다. 적절한 레티클 어댑터는 2001년 6월 26일자로 허여된 미국특허번호 6,251,217호에 개시되어 있으며, 이는 본 발명에 참조로 포함된다. 에지 링(726)은 어댑터(782)를 페디스털(724)에 커버 및/또는 고정시킬 수 있다.
리프트 메커니즘(738)은 어댑터(782)를 하강 또는 승강시키는데 사용되고, 이에 따라 기판 지지 페디스털(724)의 기판을 하강 또는 승강시킨다. 일반적으로, 리프트 메커니즘(738)은 각각의 가이드 홀들(736)을 통해 이동하는 다수의 리프트 핀들(하나의 리프트 핀(730)이 도시됨)을 포함한다.
동작시, 기판(722)의 온도는 기판 페디스털(724)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페디스털(724)은 히터(744) 및 선택적인 방열기(728)를 포함한다. 히터(744)는 이를 통해 열 전달 유체를 유동시키도록 구성된 하나 이상의 유체 도관들일 수 있다. 다른 실시예에서, 히터(744)는 히터 전력공급기(768)에 의해 조절되는 적어도 하나의 가열 엘리먼트(734)를 포함할 수 있다. 선택적으로, 가스 소스(756)로부터 후면 가스(예, 헬륨(He))가 가스 도관(758)을 통해 기판(722) 아래의 페디스털 표면에 형성된 채널들에 제공된다. 후면 가스는 페디스털(724)과 기판(722) 사이에서 열 전달을 촉진시키는데 사용된다. 처리 동안, 페디스털(724)은 내장된 히터(744)에 의해 정상-상태 온도로 가열될 수 있으며, 헬륨 후면 가스와 조합되어 기판(722)의 균일한 가열을 촉진시킨다.
도 8은 마스크 제거를 위한 전용 챔버가 요구될 때 제 4 처리 챔버(114)로서 사용될 수 있는 종류의 예시적인 애슁 반응기(800)의 개념도를 도시한다. 적절한 애슁 반응기들은 어플라이드 머티어리얼스사로부터 이용가능하다. 예시적인 반응기(800)의 현저한 특징들은 이하에서 간략히 기술된다.
반응기(800)는 처리 챔버(802), 원격 플라즈마 소스(806), 및 제어기(808)를 포함한다. 처리 챔버(802)는 일반적으로 제 1 부분(810)과 제 2 부분(812)을 포함하는 진공관이다. 일 실시예에서, 제 1 부분(810)은 기판 페디스털(804), 측벽(816) 및 진공 펌프(814)를 포함한다. 제 2 부분(812)은 가스 혼합 부파(822) 및 반응 부피(824)를 규정하는 가스 분배판(샤워헤드)(820)과 리드(818)를 포함한다. 리드(818)와 측벽(816)은 일반적으로 금속(예, 알루미늄(Al), 스테인리스 스틸 등)으로부터 형성되고 접지(860)에 전기적으로 결합된다.
기판 페디스털(804)은 반응 부피(824)내에서 기판(웨이퍼)(826)을 지지한다. 일 실시예에서, 기판 페디스털(804)은 가스 충진 램프들(828)과 같은 복사열의 소스, 및 내장된 저항성 히터(830)과 도관(832)을 포함할 수 있다. 도관(832)은 페디스털(804)의 웨이퍼 지지 표면의 그루브들(미도시)을 통해 소스(834)로부터 웨이퍼(826)의 후면으로 가스(예, 헬륨)를 제공한다. 가스는 지지 페디스털(804)과 웨이퍼(826) 사이에서 열 교환을 촉진시킨다. 웨이퍼(826)의 온도는 약 20℃ 내지 약 400℃에서 제어될 수 있다.
진공 펌프(814)는 처리 챔버(802)의 측벽(816)에 형성된 배기구(836)에 제공된다. 진공 펌프(814)는 처리 챔버(102)의 목표된 가스 압력을 유지하는데 사용되 고, 챔버로부터 후속 처리 가스들과 다른 휘발성 화합물들을 배출시키는데 사용된다. 일 실시예에서, 진공 펌프(814)는 처리 챔버(802)에서 가스 압력을 제어하기 위한 스로틀 밸브(838)를 포함한다.
처리 챔버(802)는 또한 웨이퍼(826)를 유지 및 배출시키고, 프로세스의 종점을 검출하며, 내부 진단 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템들은 지원 시스템들(840)로서 집합적으로 도시된다.
원격 플라즈마 소스(806)는 전원(846), 가스 패널(844), 및 원격 플라즈마 챔버(842)를 포함한다. 일 실시예에서, 전원(846)은 RF 발생기(848), 조정(tuning) 어셈블리(850), 및 도포기(applicator)(852)를 포함한다. RF 발생기(848)는 약 200 내지 700㎑ 주파수에서 약 200W 내지 5000W를 생성할 수 있다. 도포기(852)는 원격 플라즈마 챔버(842)에 유도성 결합되고 챔버의 플라즈마(862)로 처리 가스(또는 가스 혼합물)(864)를 에너지 공급시킨다. 이러한 실시예에서, 원격 플라즈마 챔버(842)는 플라즈마를 제한하고 래디컬 종(radical species)의 효율적인 생성을 촉진시키며 플라즈마의 전자 온도를 감소시키는 토로이드(toroidal) 형상을 갖는다. 다른 실시예들에서, 원격 플라즈마 소스(806)는 마이크로파 플라즈마 소스일 수 있지만, 스트립핑 속도들은 일반적으로 유도성 결합된 플라즈마를 이용하는 것이 더 높다.
가스 패널(844)은 처리 가스(864)를 원격 플라즈마 챔버(842)로 전달하기 위해 도관(866)을 이용한다. 가스 패널(844)(또는 도관(866))은 챔버(842)로 공급되는 각각의 개별적인 가스를 위한 유속과 가스 압력을 제어하기 위해, 질량 유량 제 어기 및 차단 밸브들과 같은 수단(미도시)을 포함한다. 플라즈마(862)에서, 처리 가스(864)가 이온화 및 해리되어 반응성 종을 형성한다.
반응성 종들은 리드(818)의 입구 포트(868)를 통해 혼합 부피(822)로 유도된다. 웨이퍼(826)상의 소자들에 대한 하전 플라즈마 손상을 최소화하기 위해, 가스가 샤워헤드(820)의 다수의 개구들(870)을 통해 반응 부피(824)에 도달하기 이전에 처리 가스(864)의 이온 종들이 혼합 부피(822)내에서 실질적으로 중화된다.
전술한 상세한 설명은 본 발명의 예시적인 실시예에 관한 것이지만, 본 발명의 기본 범주를 벗어남이 없이 본 발명의 다른 실시예들과 추가적인 실시예들이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.
본 발명에 의하면, 개선된 포토마스크 제조 프로세스와 포토마스크들의 제조에서 프로세스 통합을 위한 개선된 클러스터 툴 및 방법을 제공할 수 있는 효과가 있다.

Claims (13)

  1. 화학적 기상 증착(CVD) 챔버로서,
    챔버 몸체;
    상기 챔버 몸체의 내부 부피에 배치된 기판 지지부;
    상기 기판 지지부에 내장된 전극;
    상기 전극에 결합된 제 1 RF 전원;
    상기 전극에 결합된 제 2 RF 전원;
    상기 챔버 몸체의 내부 부피에 배치된 샤워헤드; 및
    상기 샤워헤드에 결합된 제 3 RF 전원
    을 포함하는 화학적 기상 증착 챔버.
  2. 제 1 항에 있어서,
    상기 기판 지지부 상에 배치된 레티클 어댑터(reticle adapter)를 더 포함하는 것을 특징으로 하는 화학적 기상 증착 챔버.
  3. 제 2 항에 있어서,
    상기 레티클 어댑터는,
    상기 기판 지지부 상에 배치된 커버 링; 및
    상기 커버 링 상에 배치되고 기판 수용 포켓을 규정하는 캡쳐 링을 더 포함 하는 것을 특징으로 하는 화학적 기상 증착 챔버.
  4. 제 1 항에 있어서,
    상기 제 1 및 제 2 RF 전원에 결합된 정합 회로; 및
    상기 정합 회로를 제 1 전극에 결합시키는 단일 공급부(feed)를 더 포함하는 것을 특징으로 하는 화학적 기상 증착 챔버.
  5. 제 1 항에 있어서,
    상기 샤워헤드에 인가되는 전력은 상기 전극에 인가되는 전력보다 더 큰 것을 특징으로 하는 화학적 기상 증착 챔버.
  6. 제 1 항에 있어서,
    상기 제 1 RF 전원은 상기 제 2 RF 전원보다 더 높은 주파수를 제공하도록 적응되는 것을 특징으로 하는 화학적 기상 증착 챔버.
  7. 화학적 기상 증착(CVD) 챔버로서,
    챔버 몸체;
    상기 챔버 몸체의 내부 부피에 배치된 샤워헤드;
    상기 챔버 몸체의 내부 부피에 배치된 기판 지지부;
    상기 기판 지지부에 내장된 전극;
    정합 회로를 통해 상기 전극에 결합된 제 1 RF 신호를 제공하기 위한 제 1 RF 전원;
    상기 정합 회로를 통해 상기 전극에 결합된 제 2 RF 신호를 제공하기 위한 제 2 RF 전원;
    상기 샤워헤드에 결합된 제 3 RF 전원; 및
    상기 기판 지지부 상에 배치된 레티클 어댑터
    을 포함하는 화학적 기상 증착 챔버.
  8. 제 7 항에 있어서,
    상기 레티클 어댑터는,
    상기 기판 지지부 상에 배치된 커버 링; 및
    상기 커버 링 상에 배치되고 기판 수용 포켓을 규정하는 캡쳐 링을 더 포함하는 것을 특징으로 하는 화학적 기상 증착 챔버.
  9. 제 7 항에 있어서,
    상기 정합 회로, 제 1 및 제 2 RF 전원을 제 1 전극에 결합시키는 단일 공급부를 더 포함하는 것을 특징으로 하는 화학적 기상 증착 챔버.
  10. 화학적 기상 증착 챔버에 배치된 기판 지지부 상에 기판을 제공하는 단계 - 상기 기판은 석영층 및 크롬층을 포함함 -;
    하드 마스크 프리커서 가스를 상기 화학적 기상 증착 챔버로 유동시키는 단계;
    상기 기판 지지부에 배치된 전극에 제 1 RF 신호를 공급하는 단계;
    상기 기판 지지부에 배치된 전극에 제 2 RF 신호를 공급하는 단계 - 상기 제 1 및 제 2 RF 신호는 상이한 주파수들을 가짐 -; 및
    상기 기판 상에 하드 마스크 층을 증착하는 단계
    를 포함하는 하드 마스크 층 증착 방법.
  11. 제 10 항에 있어서,
    상기 기판 지지부 상부에 배치된 샤워헤드에 제 3 RF 신호를 결합시키는 단계를 더 포함하는 것을 특징으로 하는 하드 마스크 층 증착 방법.
  12. 제 13 항에 있어서,
    상기 제 1 및 제 2 RF 신호는 제 3 RF 신호 미만의 결합된 전력을 갖는 것을 특징으로 하는 하드 마스크 층 증착 방법.
  13. 제 12 항에 있어서,
    상기 제 3 RF 신호는 상기 기판 지지부와 샤워헤드 사이에서 플라즈마를 유지시키는 것을 특징으로 하는 하드 마스크 층 증착 방법.
KR1020060070779A 2005-07-29 2006-07-27 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법 KR101114131B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/192,997 2005-07-29
US11/192,997 US20070031609A1 (en) 2005-07-29 2005-07-29 Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same

Publications (2)

Publication Number Publication Date
KR20070015031A true KR20070015031A (ko) 2007-02-01
KR101114131B1 KR101114131B1 (ko) 2012-03-13

Family

ID=37198983

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060070779A KR101114131B1 (ko) 2005-07-29 2006-07-27 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법

Country Status (6)

Country Link
US (2) US20070031609A1 (ko)
EP (1) EP1749901A3 (ko)
JP (1) JP5449642B2 (ko)
KR (1) KR101114131B1 (ko)
CN (1) CN1912178B (ko)
TW (1) TWI363105B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037638A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR20180072689A (ko) * 2015-10-21 2018-06-29 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
KR20220038192A (ko) * 2014-10-28 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US8129283B2 (en) * 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR20150018592A (ko) * 2007-05-03 2015-02-23 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US9006001B2 (en) * 2007-12-24 2015-04-14 Texas Instruments Incorporated Simple scatterometry structure for Si recess etch control
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
CN102150278A (zh) * 2008-06-11 2011-08-10 因特瓦克公司 使用注入和退火方法的太阳能电池-选择性发射极的形成
ES2581378T3 (es) * 2008-06-20 2016-09-05 Volker Probst Dispositivo de procesamiento y procedimiento para procesar productos de procesamiento apilados
WO2010033712A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Electrode system for large batch production of thin photovoltaic modules
WO2010033713A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Large batch production of thin photovoltaic modules
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20110097908A (ko) 2008-11-28 2011-08-31 볼커 프로브스트 반도체 층 또는 원소 셀레늄 및/또는 황으로 처리된 코팅 기판, 특히 평면 기판의 제조 방법
CN102438841A (zh) * 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR20140065376A (ko) * 2011-02-25 2014-05-29 가부시키가이샤 니콘 관찰 장치, 검사 장치, 반도체 장치의 제조 방법, 및 기판 지지 부재
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9159630B1 (en) * 2014-07-14 2015-10-13 Globalfoundries Inc. Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
CN105655279A (zh) * 2014-11-14 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 承载装置及半导体加工设备
US9576816B2 (en) * 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
CN104979281A (zh) * 2015-05-25 2015-10-14 上海华力微电子有限公司 一种接触孔形成方法
US11725279B2 (en) 2017-02-08 2023-08-15 Picosun Oy Deposition or cleaning apparatus with movable structure
TWI775839B (zh) * 2017-04-20 2022-09-01 美商微材料有限責任公司 具有選擇性阻隔層的結構
TWI800505B (zh) * 2017-04-24 2023-05-01 美商應用材料股份有限公司 對電漿反應器的電極施加功率
KR102535916B1 (ko) * 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP7235683B2 (ja) * 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
WO2019067538A1 (en) * 2017-09-29 2019-04-04 Tokyo Electron Limited METHODS AND SYSTEMS FOR COATING A SUBSTRATE WITH A FLUID
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
WO2020006379A1 (en) * 2018-06-30 2020-01-02 Applied Materials, Inc. Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
GB2584160A (en) * 2019-05-24 2020-11-25 Edwards Ltd Vacuum assembly and vacuum pump with an axial through passage
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11495436B2 (en) 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US11721545B2 (en) * 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
CN114717655B (zh) * 2022-04-21 2023-04-07 哈尔滨工业大学 一种用于钻石定制图案和电极的晶体内部图形化方法

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4579648A (en) * 1984-09-24 1986-04-01 Exxon Research And Engineering Co. Catalytic reforming process
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5471564A (en) * 1992-07-10 1995-11-28 Microsoft Corporation System and method for dynamic printer timeout
JP2512540Y2 (ja) * 1992-09-11 1996-10-02 しげる工業株式会社 ア―ムレスト付きコンソ―ルボックス
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH08339992A (ja) * 1995-06-13 1996-12-24 Toshiba Corp 薄膜形成装置および薄膜形成方法
JP3949186B2 (ja) * 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
KR100525961B1 (ko) 1996-11-04 2005-12-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마시스에서발생하는고주파를필터링하는플라즈마처리장치및방법
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6546405B2 (en) 1997-10-23 2003-04-08 Microsoft Corporation Annotating temporally-dimensioned multimedia content
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000138201A (ja) 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20010013313A1 (en) 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP3976981B2 (ja) * 2000-03-30 2007-09-19 キヤノン株式会社 露光装置、ガス置換方法、デバイス製造方法
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6887339B1 (en) 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6583572B2 (en) * 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US20020197509A1 (en) 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
JP3814176B2 (ja) * 2001-10-02 2006-08-23 キヤノンアネルバ株式会社 プラズマ処理装置
WO2003036703A1 (en) 2001-10-22 2003-05-01 Unaxis Usa, Inc. Process and apparatus for etching of thin, damage sensitive layers using high frequency pulsed plasma
US6790770B2 (en) 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6889339B1 (en) * 2002-01-30 2005-05-03 Verizon Serivces Corp. Automated DSL network testing software tool
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
JP4451097B2 (ja) * 2002-10-17 2010-04-14 東京エレクトロン株式会社 成膜方法
JP2004158793A (ja) * 2002-11-08 2004-06-03 Tokyo Electron Ltd 絶縁膜の形成方法及び絶縁膜の形成装置
US6864020B1 (en) 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
KR101161450B1 (ko) 2003-04-09 2012-07-20 호야 가부시키가이샤 포토 마스크의 제조방법 및 포토 마스크 블랭크
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037638A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR20220133835A (ko) * 2013-09-30 2022-10-05 램 리써치 코포레이션 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR20220038192A (ko) * 2014-10-28 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
KR20180072689A (ko) * 2015-10-21 2018-06-29 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Also Published As

Publication number Publication date
TW200716784A (en) 2007-05-01
JP2007084919A (ja) 2007-04-05
JP5449642B2 (ja) 2014-03-19
TWI363105B (en) 2012-05-01
EP1749901A2 (en) 2007-02-07
CN1912178B (zh) 2015-01-28
KR101114131B1 (ko) 2012-03-13
EP1749901A3 (en) 2008-08-06
US20070031609A1 (en) 2007-02-08
US20070119373A1 (en) 2007-05-31
CN1912178A (zh) 2007-02-14
US7658969B2 (en) 2010-02-09

Similar Documents

Publication Publication Date Title
KR101114131B1 (ko) 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR101095694B1 (ko) 석영 포토마스크 플라스마 식각을 위한 방법
US7790334B2 (en) Method for photomask plasma etching using a protected mask
JP2006215552A5 (ko)
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee