KR101095694B1 - 석영 포토마스크 플라스마 식각을 위한 방법 - Google Patents

석영 포토마스크 플라스마 식각을 위한 방법 Download PDF

Info

Publication number
KR101095694B1
KR101095694B1 KR1020080081051A KR20080081051A KR101095694B1 KR 101095694 B1 KR101095694 B1 KR 101095694B1 KR 1020080081051 A KR1020080081051 A KR 1020080081051A KR 20080081051 A KR20080081051 A KR 20080081051A KR 101095694 B1 KR101095694 B1 KR 101095694B1
Authority
KR
South Korea
Prior art keywords
quartz
layer
etching
photomask
processing chamber
Prior art date
Application number
KR1020080081051A
Other languages
English (en)
Other versions
KR20080089315A (ko
Inventor
스코트 앨런 앤더슨
아자이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080089315A publication Critical patent/KR20080089315A/ko
Application granted granted Critical
Publication of KR101095694B1 publication Critical patent/KR101095694B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47BTABLES; DESKS; OFFICE FURNITURE; CABINETS; DRAWERS; GENERAL DETAILS OF FURNITURE
    • A47B3/00Folding or stowable tables
    • A47B3/06Folding or stowable tables with separable parts
    • A47B3/063Folding or stowable tables with separable parts combined with seats
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47BTABLES; DESKS; OFFICE FURNITURE; CABINETS; DRAWERS; GENERAL DETAILS OF FURNITURE
    • A47B13/00Details of tables or desks
    • A47B13/02Underframes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

석영을 식각하기 위한 방법이 본 원 발명에 제공된다. 일 실시예에서, 석영을 식각하기 위한 방법은 패턴화된 층을 통해 부분적으로 노출되는 석영층을 구비한 필름 스택을 식각 챔버 내에 제공하는 단계, 하나 이상의 플루오르화탄소 공정 가스를 프로세싱 챔버에 제공하는 단계, 프로세싱 챔버 내의 기판 지지부 상에 배치되는 석영층을 600 Watts 미만의 복수의 전력 펄스로 바이어스 가하는 단계 및 패턴화된 마스크를 통해 석영층을 식각하는 단계를 포함한다. 본 발명에 기재된 석영을 식각하는 방법은 특히 식각되는 석영 부분을 갖는 포토마스크를 제조하는데 적합하다.
석영, 식각, 플라스마

Description

석영 포토마스크 플라스마 식각을 위한 방법 {METHOD FOR QUARTZ PHOTOMASK PLASMA ETCHING}
본 발명의 실시예는 일반적으로 석영을 플라스마 식각하기 위한 방법, 보다 구체적으로 석영 포토마스크를 식각하기 위한 방법에 관한 것이다.
직접 회로(IC), 또는 칩의 제조에서, 칩의 여러 가지 층을 나타내는 패턴들이 칩 설계자에 의해 생성된다. 일련의 재사용될 수 있는 마스크 또는 포토마스크가 이러한 패턴들로 생성되어서, 제조 공정 중에 각각의 칩 층의 디자인을 반도체 기판상에 전사할 수 있게 한다. 마스크 패턴 생성 시스템은 각각의 마스크 상에 칩 각각의 층의 디자인을 형상화하기 위해서 정밀 레이저 또는 전자 빔을 이용한다. 마스크는 반도체 기판상에 각각의 층을 위한 회로 패턴을 전사하기 위해서 포토그래픽 네거티브(photographic negatives)처럼 이용된다. 이러한 층들은 일련의 공정을 이용하여 확립되며 각각의 완성된 칩을 포함하는 아주 작은 트랜지스터(tiny transistor) 및 전기 회로로 만들어진다. 따라서, 마스크 내의 임의의 결점이 칩으로 전사될 수 있으며, 잠재적으로 성능에 불리한 영향을 미친다. 정도가 심한 결점은 마스크를 완전히 쓸모없게 할 수 있다. 통상적으로, 15 내지 30개의 마스크 세트가 칩을 구성하는데 이용되며 반복적으로 이용될 수 있다.
마스크는 통상적으로 한 측면 상에 크롬의 층을 갖는 유리 또는 석영 기판이다. 마스크는 몰리브덴(Mo)으로 도핑된 실리콘 질화물(SiN)의 층을 포함할 수도 있다. 크롬층은 반사 방지 코팅(antireflective coating) 및 감광성 레지스트로 덮인다. 패턴화 공정 중에, 회로 디자인은 자외선에 레지스트의 일부를 노출시킴으로써 마스크 상에 새겨져서 노출되는 부분들이 현상액 내에서 용해될 수 있게 한다. 그 후, 레지스트의 가용성 부분이 제거되어, 노출되는 하부의 크롬이 식각되게 한다. 식각 공정은 크롬 및 반사 방지층을, 레지스트가 제거되는 위치, 즉 노출되는 크롬이 제거되는 위치에 있는 마스크로부터 제거한다.
패턴화하기 위해 이용되는 다른 마스크는 석영 위상 이동 마스크(quartz phase shift mask)로 공지된다. 석영 위상 이동 마스크는 패턴화된 크롬층을 통해 노출되는 석영 부분의 교체 인접 영역이 제조 중에 기판에 회로 패턴을 전사하는데 이용될 수 있는 광 파장의 약 절반의 깊이로 식각되는 것을 제외하고는 전술된 마스크와 유사하다. 따라서, 빛이 기판상에 배치되는 레지스트를 노출시키도록 석영 위상 이동 마스크를 통해 안내되기 때문에, 마스크 내의 한 개구를 통해 레지스트 내에서 충돌하는 빛은 바로 가까이에 인접한 개구를 통과하는 빛에 대해 180도 위상이 반전된다. 따라서, 마스크 개구의 에지에 스캐터링(scattering)될 수 있는 빛은 인접한 개구의 에지에 스캐터링되는 180 도 빛에 의해 상쇄되어 레지스트의 소정의 영역 내의 빛의 빈틈 없는 분포를 야기한다. 빛의 빈틈없는 분포는 보다 작은 최소 선폭을 갖는 피처의 라이팅(writing)을 용이하게 한다. 이와 유사하게, 크롬이 없는 식각 리소그래피를 위해 이용되는 마스크는 레지스트를 순차적으로 형상화하기 위해서 두 개의 마스크의 석영 부분을 통과하는 빛의 위상 이동도 이용하여 레지스트 패턴을 현상하는데 이용되는 빛 분포를 개선시킨다.
건식 식각, 활성 이온 식각, 또는 플라스마 식각으로 공지된 하나의 식각 공정에서, 플라스마는 화학 반응을 강화하며 마스크의 노출되는 석영 영역을 식각하는데 이용된다. 바람직하지 못하게, 종래의 석영 식각 공정은 여러 가지의 최소 선폭을 갖는 피처들 사이에서 RIE 래그를 나타낸다. 예를 들어, 넓은 폭을 갖는 피처의 측면 및 수직의 식각율은 보다 작은 폭을 갖는 피처의 측면 및 수직의 식각율과 다르다. 석영층에 있어서 트렌치 식각되는 측면 각도 및 마이크로트렌칭(microtrenching)과 같은 종래의 석영 식각 공정의 다른 특성은 약 5㎛ 미만의 최소 선폭을 갖는 마스크를 위한 수용할 수 있는 결과를 설명하지 못한다. 이는 마스크의 식각되는 피처의 불균일성을 야기하여 이에 상응하는, 마스크를 이용하는 최소 선폭을 갖는 피처를 제조하기 위한 역량을 감소시킨다.
마스크의 최소 선폭이 계속해서 줄어들기 때문에, 식각 균일성의 중요성이 증가한다. 따라서, 높은 식각 균일성 및 낮은 RIE 래그를 갖는 석영 식각 공정은 매우 바람직하다.
따라서, 개선된 석영 식각 공정이 필요하다.
본 발명은 일반적으로, 석영을 식각하는 방법을 제공한다. 일 실시예에서, 석영을 식각하는 방법은 식각 챔버 내에, 패턴화된 층을 통해 부분적으로 노출되는 석영층을 구비한 필름 스택을 제공하는 단계, 하나 이상의 플루오르화탄소 공정 가스를 프로세싱 챔버에 제공하는 단계, 프로세싱 챔버 내의 기판 지지부 상에 배치되는 석영층을 600 Watts 미만의 복수의 전력 펄스로 바이어스 가하는 단계 및 패턴화된 마스크를 통해 석영층을 식각하는 단계를 포함한다.
본 발명의 다른 측면에서, 포토마스크를 형성하는 방법이 제공된다. 일 실시예에서, 이 방법은 석영층 상의 마스크 층을 패턴화하는 단계, 마스크 층을 통해 노출되는 석영의 일부를 식각 공정을 이용하는 깊이로 식각하는 단계, 석영층의 식각을 소정의 깊이에서 종결하는 단계, 및 마스크 층을 제거하는 단계를 포함하며, 상기 식각 공정은 하나 이상의 플루오르화탄소 공정 가스를 프로세싱 챔버에 제공하는 단계, 및 프로세싱 챔버 내의 기판 지지부 상에 배치되는 석영층을 600 Watts 미만의 복수의 전력 펄스로 바이어스 가하는 단계를 포함한다.
본 발명의 전술된 특징들은 본 발명의 간결하게 요약되는 보다 구체적으로 실시예들을 설명하는 첨부된 도면에 도시된 소정의 실시예를 참조하여 더 잘 이해 될 것이다. 그러나, 이러한 실시예에서 각각의 특징들은 특정 도면에 도시된 실시예에만 한정되는 것이 아니라 본 발명의 다른 동일한 효과의 실시예들에 적용될 수 있다고 이해해야 한다.
이해를 용이하게 하기 위해서, 도면의 동일한 부품을 언급하는 공통의 참조 번호가 가능할 수 있는 도면에서 이용된다.
도 1은 본 발명의 석영 식각 방법이 실행될 수 있는 식각로(100)의 일 실시예의 개략도이다. 본 발명에 기재된 기술로 이용할 수 있는 적합한 반응로는 예를 들어, 디커플드 플라스마 소오스 (등록 상표 디피에스)(Decoupled Plasama Source (DPS®) Ⅱ 반응로, 또는 테트라(Tetra) Ⅰ 및 테트라(Tetra) Ⅱ 포토마스크 식각 시스템을 포함하며 이들 모두는 캘리포니아 산타 클라라에 소재하고 있는 어플라이드 머티어리얼즈 인코포레이티드(Applied Materials Inc.)로부터 이용가능하게 제조된다. 등록상표 DPS Ⅱ 반응로는 집적화된 반도체 웨이퍼 프로세싱 시스템에 있어 등록상표 센츄라(Centura®)의 프로세싱 모듈로서 이용될 수 있으며, 또한 어플라이드 머티어리얼즈 인코포레이티드로부터 이용가능하게 제조된다. 도시된 반응로(100)의 특정 실시예는 본 발명의 범위를 제한하려는데 이용되는 것이 아니라 실예적인 목적을 위해서 제공된다.
반응로(100)는 일반적으로 전도성 바디(벽)(104) 내에 기판 받침대(124), 및 제어기(146)를 구비한 공정 챔버(102)를 포함한다. 챔버(102)는 실질적으로 편평한 유전체 실링(108)을 갖는다. 챔버(102)의 다른 변형은 실링의 다른 형태, 즉, 돔-형 실링을 가질 수 있다. 안테나(110)는 실링(108) 위에 배치된다. 안테나(110)는 하나 이상의 유도 코일 요소들을 포함하며, 상기 요소들은 선택적으로 제어될 수 있다(두 개의 동축 요소(110a, 110b)가 도 1에 도시된다). 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라스마 전력 소오스(112)에 연결된다. 플라스마 전력 소오스(112)는 통상적으로 약 50 ㎑에서 약 13.56㎒ 범위의 가변 주파수에서 약 3000 Watts(W)까지 산출할 수 있다. 일 실시예에서, 플라스마 전력 소오스(112)는 약 300 내지 약 600 W의 유도 결합 RF 전력을 제공한다.
기판 받침대(캐소드)(124)는 제 2 매칭 네트워크(142)를 통해 바이어싱 전력 소오스(140)에 연결된다. 바이어싱 소오스(140)는 약 1 내지 약 10㎑의 범위 내의 가변 펄스 주파수에서 약 0 내지 약 600 W를 제공한다. 바이어싱 소오스(140)는 펄스화된 RF 전력 생산을 산출한다. 이와 달리, 바이어싱 소오스(140)는 펄스화된 DC 전력 생산을 산출할 수 있다. 상기 소오스(140)가 일정한 전력 생산을 제공할 수도 있다는 점이 고려된다.
일 실시예에서, 바이어싱 소오스(140)는 약 10 내지 약 95%의 듀티 사이클(duty cycle)로, 약 1 내지 약 10 ㎑의 주파주에서 약 600 Watts 미만의 RF 전력을 제공하도록 형성된다. 다른 실시예에서, 바이어싱 소오스(140)는 약 80 내지 약 95%의 듀티 사이클로, 약 2 내지 약 5 ㎑의 주파수에서 약 20 내지 약 150 Watts의 RF 전력을 제공하도록 형성된다.
일 실시예에서, 등록상표 DPS Ⅱ 반응로와 같이, 기판 지지부 받침대(124)는 정전 척(160)을 포함할 수 있다. 정전 척(160)은 하나 이상의 클램핑 전극(132)을 포함하며 척 전력 공급원(166)에 의해 제어된다. 대안적인 실시예에서, 기판 받침대(124)는 서셉터 클램프 링(susceptor clamp ring), 기계 척 등과 같은 기판 유지 메커니즘을 포함할 수 있다.
가스 패널(120)은 공정 챔버(102)에 연결되어 공정 챔버(102) 내부에 공정 가스 및/또는 다른 가스를 제공한다. 도 1에 도시된 다른 실시예에서, 가스 패널(120)은 상기 챔버(102)의 측벽(104) 내의 채널(118) 내에 형성되는 하나 이상의 입구(116)에 연결된다. 하나 이상의 입구(116)가 다른 위치, 예를 들어 공정 챔버(102)의 실링(108) 내에 제공될 수 있다는 점이 고려된다.
일 실시예에서, 가스 패널(120)은 입구(116)를 통해 공정 챔버(102) 내부로 플루오르화 공정 가스를 제공하게 된다. 프로세싱 중에, 플라스마는 공정 가스로부터 형성되며 플라스마 전력 소오스(112)로부터 전력의 유도 결합을 통해 유지된다. 플라스마는 대안적으로 다른 방법에 의해 멀리 떨어져 형성되거나 고도로 가열될 수 있다. 일 실시예에서, 가스 패널(120)로부터 제공되는 공정 가스는 적어도 CHF3 및/또는 CF4를 포함한다. 다른 플루오르화된 가스는 C2F6, C4F6, C3F8 및 C5F8 중 하나 이상을 포함할 수 있다.
챔버(102) 내의 압력은 스로틀 밸브(162) 및 진공 펌프(164)를 이용하여 제어된다. 진공 펌프(164) 및 스로틀 밸브(162)는 약 1 내지 약 20 mTorr의 범위 내에서 챔버 압력을 유지할 수 있다.
벽(104)의 온도는 벽(104)을 통해 연결되는 액체-함유 도관(도시되지 않음)을 이용하여 제어될 수 있다. 벽 온도는 일반적으로 섭씨 약 65 도에서 유지된다.통상적으로, 챔버 벽(104)은 금속(예들 들어, 알루미늄, 스테인리스 스틸, 등)으로 형성되며 전기 접지(106)에 연결된다. 공정 챔버(102)는 공정 제어, 내부 진단(internal diagnostic), 종점 검출, 등을 위한 종래의 시스템도 포함한다. 이러한 시스템은 지지 시스템(154)으로서 집합적으로 도시된다.
레티클 어댑터(reticle adapter)(182)는 기판 지지부 받침대(124) 상에 (레티클 또는 다른 피가공재와 같은) 기판(122)을 고정하는데 이용된다. 레티클 어댑터(182)는 일반적으로, 받침대(124)의 상부면(예를 들어, 정전 척(160))을 덮기 위해 가공된 저면부(184) 및 개구(188)를 구비한 상부(186)를 포함하며, 기판(122)을 유지하도록 크기 및 모양이 정해진다. 개구(188)는 일반적으로 받침대(124)에 대하여 실질적으로 중심이 정해져 있다. 어댑터(182)는 일반적으로 내식성의 단일 부품, 폴리이미드 세라믹 또는 석영과 같은 내열성이 우수한 재료로 형성된다. 적합한 레티클 어댑터는 본 원에 참조되며, 2001년 6월 26일 출원된 미국 특허 제 6,251,217호에 기재되어 있다. 에지 링(126)은 어댑터(182)를 받침대(124) 상에 덮으며 또는 고정할 수 있다.
리프트 메커니즘(138)은 어댑터(182)를 하강 또는 상승시키는데 이용되어, 기판(122)을 기판 지지부 받침대(124) 상에 배치시키거나 분리시킨다. 일반적으로, 리프트 메커니즘(138)은 각각의 가이드 홀(136)을 통해 연결되는 복수의 리프트 핀(하나의 리프트 핀(130)이 도시됨)을 포함한다.
작동 중에, 기판(122)의 온도는 기판 받침대(124)의 온도를 안정시킴으로써 제어된다. 일 실시예에서, 기판 지지부 받침대(124)는 가열기(144) 및 선택적 방열판(128)을 포함한다. 가열기(144)는 열 전달 유체를 유동시키도록 형성되는 하나 이상의 유체 도관일 수 있다. 다른 실시예에서, 가열기(144)는 하나 이상의 가열 요소(134)를 포함할 수 있으며 가열기 전력 공급원(168)에 의해 조절된다. 선택적으로, 가스 소오스(156)로부터 백사이드 가스(예를 들어, 헬륨(He))가 가스 도관(158)을 통해 기판(122) 아래 받침대 표면 내에 형성되는 채널에 제공된다. 백사이드 가스는 받침대(124)와 기판(122) 사이의 열 전달을 용이하게 하는데 이용된다. 프로세싱 중에, 받침대(124)는 삽입형 가열기(144)에 의해 정상-상태 온도로 가열될 수 있으며, 헬륨 백사이드 가스와 조합하여 기판(122)의 균일한 가열을 용이하게 한다.
제어기(146)는 중앙 처리 장치(CPU)(150), 메모리(148), 및 CPU(150)용 지지 회로(152)를 포함하며, 공정 챔버(102)의 구성 요소들의 제어를 용이하게 하며, 이후에 더 상세히 기재되는 바와 같이 식각 공정의 구성 요소들의 제어를 용이하게 한다. 제어기(146)는 범용 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있으며 다양한 챔버 및 하위-프로세서를 제어하기 위한 산업 분야에 이용될 수 있다. CPU(150)의 메모리(148)는 임의의 접근 메모리(램)(RAM), 읽기-전용 메모리(롬)(ROM), 플로피 디스크, 하드 디스크, 또는 디지털 스토리지(digital storage), 로칼(local) 또는 리모트(remote)의 임의의 다른 형태와 같은 하나 이상의 손쉽게 이용가능한 메모리(readily available memory)일 수 있다. 지원 회로(support circuits)(152)는 종래의 방식으로 프로세서를 지지하기 위한 CPU(150)에 연결된다. 이러한 회로는 캐시(cache), 전력 공급원, 시계 회로(clock circuits), 입력/출력 회로 및 하위 시스템 등을 포함한다. 본 발명의 방법은 일반적으로 메모리(148) 또는 소프트웨어 루틴과 같이 CPU(150)에 접근가능한 다른 컴퓨터-읽기 매체 내에 저장된다. 이와 달리, 이러한 소프트웨어 루틴은 제 2 CPU(도시되지 않음)에 의해 저장되며/또는 실행될 수도 있으며, CPU(150)에 의해 제어되는 하드웨어로부터 멀리 위치된다.
도 2는 석영을 식각하기 위한 방법(200)의 일 실시예의 흐름도이다. 방법(200)이 포토마스크를 제조하기 위해서 이용되는 기판과 관련하여 기재되지만, 방법(200)은 다른 석영 식각 분야의 이점으로 이용될 수도 있다.
제어기(146)의 메모리(148) 또는 다른 저장 매체 내에 컴퓨터 읽기 형태로 저장될 수 있는 방법(200)은 기판(122)이 지지부 받침대(124) 상에 위치될 때, 단계(202)로 시작된다. 일 실시예에서, 기판(122)은 어댑터(182)의 개구(188)에 놓인다. 도 1에 도시된 기판(122)은 석영(즉, 실리콘 이산화물, SiO2, 층(192))과 같은 투명한 실리콘계 재료를 선택적으로 포함하며, 포토마스크 재료(190)로 공지된, 불투명한 차광성 금속 층을 구비하며, 석영층(192)의 표면상에 패턴화된 마스크를 형성한다. 분리된 마스크가 이용될 수 있지만, 다른 분야에서, 석영층(192) 상에 배치되는 마스크는 여러 가지의 재료로 구성될 수 있으며, 하나 이상의 매개층을 포함할 수 있으며 또는 하나 이상의 매개층에 의해 기판으로부터 분리될 수 있다는 점이 고려된다. 포토마스크 층(190)으로서 이용하는데 적합한 통상적인 금속은 크롬 및/또는 크롬 산질화물(oxynitride)을 포함한다. 기판(122)은 석영층(192)과 포토마스크 층(190) 사이에 삽입되는 몰리브덴(Mo)으로 도핑된 실리콘 질화물(SiN)의 층(도시되지 않음)을 포함할 수도 있다.
단계(204)에서, 하나 이상의 플루오르화 공정 가스가 가스 입구(116)를 통해 공정 챔버(102) 내로 도입된다. 예시적인 공정 가스는 그 중에서도 특히, CF4 및 CHF3를 포함할 수 있다. 프로세싱 가스는 He, Ar, Xe, Ne, 및 Kr과 같은 불활성 가스를 추가로 포함할 수 있다.
일 실시예에서, 크롬을 포함하는 기판(122)은 2 내지 50 (표준상태에서 분당 유입되는 유체의 양에 대한 단위)(sccm)의 비율로 CF4 및 2 내지 50 sccm의 비율로 CFH3를 제공함으로써 Tetra Ⅰ, Tetra Ⅱ, 등록 상표 DPS Ⅱ 식각 모듈을 이용하여 식각된다. 한 구체적인 공정 비결은 9 sccm의 비율로 CF4, 26 sccm의 비율로 CHF3를 제공한다. 공정 챔버 내의 압력은 약 40 mTorr 미만으로 제어되며, 일 실시예에서, 약 1 내지 약 10 mTorr, 예를 들어, 2 mTorr로 제어된다.
단계(206)에서, 약 600 W 미만의 펄스화된 기판 바이어스 전력, 그리고 제 1 실시예에서, 약 100 W 미만 및 제 2 실시예에서, 20 내지 약 150 W의 펄스화된 기판 바이어스 전력이 기판(122)에 바이어스 가해지기 위해서 지지부 받침대(124)에 가해진다. 한 구체적인 공정 비결은 약 65 W의 바이어스 전력을 가하는 것이다.
일 실시예에서, 바이어싱 소오스(140)는 약 10 내지 약 95%의 듀티 사이클로, 약 1 내지 약 10 ㎑의 주파주에서 약 600 Watts 미만의 RF 전력을 제공하도록 형성된다. 다른 실시예에서, 바이어싱 소오스(140)는 약 20 내지 약 95%의 듀티 사이클로, 약 2 내지 약 5 ㎑의 주파수에서 약 20 내지 약 150 Watts의 RF 전력을 제공하도록 형성된다.
석영층 위에 패턴화된 포토레지스트의 패턴화된 층을 포함하는 일 실시예에서, 바이어싱 소오스(140)는 약 70 내지 약 90%의 듀티 사이클로, 약 2 내지 약 5 ㎑의 주파수에서 약 20 내지 약 150 Watts의 펄스화된 RF 전력을 제공하도록 형성된다. 석영층 위에 패턴화된 포토레지스트의 패턴화된 층을 구비하지 않은 일 실시예에서, 바이어싱 소오스(140)는 약 20 내지 약 40%의 듀티 사이클로, 약 2 내지 약 5 ㎑의 주파수에서 약 20 내지 약 150 Watts의 펄스화된 RF 전력을 제공하도록 형성된다.
단계(208)에서, 플라스마는 플라스마 전력 소오스(112)로부터 약 300 내지 약 600 W의 RF 전력을 안테나(110)에 가함으로써 공정 가스로부터 형성된다. 플라스마는 다른 방법에 의해 고도로 가열될 수 있다는 점이 고려된다. 일 실시예에서, 약 420 W의 RF 전력이 약 13.56㎒의 주파수에서 안테나(110)에 가해진다.
단계(210)에서, 기판(122)에 노출되는 석영층(192)은 종점에 도달할 때까지 식각된다. 종점은 시간, 선택적 간섭 측정 또는 다른 적합한 방법에 의해 결정될 수 있다.
종래의 식각 방법을 넘어 석영 식각 방법(200)의 이점은 감소된 RIE 래그, 마이크로트렌칭 및 보다 수직의 측면 각도 제어를 포함하여 상기 방법(200)은 최소 선폭을 산출하는 식각 분야에 있어서 매우 바람직할 수 있다.
도 3a 내지 도 3g는 전술된 방법(200)을 이용하여 석영 위상 이동 마스 크(318)로 제조되는 필름 스택(300i)의 일 실시예를 도시하고 있다. 첨자 "i"는 도 3a 내지 3g에 도시된 필름 스택의 여러 가지의 제조 단계를 나타내는 정수이다.
도 3a에 도시되는, 필름 스택(3001)은 위에 배치되는 포토마스크 층(304)을 구비한 석영층(302)을 포함한다. 포토마스크 층(304)은 통상적으로 크롬 또는 전술된 바와 같은 다른 적합한 재료이다. 선택적 방사 방지 층(306)(점선으로 도시됨)은 포토마스크 층(304) 상에 배치될 수 있다. 제 1 레지스트 층(308)은 이 시점에서 포토마스크 층(304) 또는 반사 방지 층(306) 상에 배치된다.
제 1 레지스트 층(308)은 패턴화되어 도 3b에 도시된 바와 같이, 하부 석영층(302)을 노출시키는 피처(310)로부터 포토마스크 층(304)을 식각하는 식각 마스크로서 이용된다. 포토마스크 층(304)은 (Cl2와 같은)염소-함유 가스 또는 (SF6 또는 CF4와 같은)플루오르-함유 가스로부터 형성되는 플라스마를 이용하여 식각될 수 있다. 일 예시적 식각 공정은 본 원에 참조되며, 2002년 9월 4일 출원된 미국 특허 제 10/235,223 호에 기재되어 있다. 다른 적합한 금속 식각 공정이 이용될 수 있다는 점이 고려된다. 피처(310)가 포토마스크(304) 층에 형성된 후에, 남아있는 제 1 레지스트 층(308)은 도 3c에 도시된 바와 같이 필름 스택(3003)을 남겨놓기 위해서 예를 들어, 회분화(ashing)에 의해 제거된다.
도 3d에 도시된 바와 같이, 제 2 레지스트 층(312)은 필름 스택(3004) 상에 배치되어 피처(310)를 충진시킨다. 제 2 레지스트 층(312)은 그 후 패턴화된다. 통상적으로, 석영 위상 이동 마스크를 형성할 때, 패턴화된 제 2 레지스트 층(312)은 도 3e에 도시된 바와 같이, 피처(310)를 대체하는 바닥에서 석영층(302)을 노출시킨다.
패턴화된 제 2 레지스트 층(312)을 통해 노출되는 석영층(302)은 전술된 방법(200)을 이용하여 식각된다. 석영 식각의 종점은 도 3f에 도시되는 식각된 석영 트렌치(316)의 깊이(314)가 석영 위상 이동 마스크(318)로 이용하도록 의도되는 빛의 소정의 파장에 대하여 석영층(302)을 통해 180 도의 위상 이동된 거리와 거의 동일하도록 선택된다. 통상적인 파장은 193 내지 248 nm이다. 따라서, 깊이(314)는 통상적으로 172 내지 240 nm 이지만, 다른 깊이는 여러 가지의 리소그래피 광 파장으로 이용하도록 의도되는 마스크를 위해서 이용될 수 있다. 석영 트렌치(316)가 식각된 후에, 남아있는 제 2 레지스트 층(312)은 예를 들어, 회분화에 의해 제거되어, 남아있는 필름 스택(3007)은 도 3g에 도시된 바와 같이 석영 위상 이동 마스크(318)를 형성한다.
도 4a 내지 도 4e는 전술된 방법(300)을 이용하여 크롬이 없는 식각 리소그래피 마스크(418)로 제조되는 필름 스택(400i)의 일 실시예를 도시하고 있다. 첨자 "i"는 도 4a 내지 4e에 도시된 필름 스택의 여러 가지 제조 단계를 나타내는 정수이다.
도 4a에 도시된 필름 스택(4001)은 석영층 상에 배치되는 마스크 층(404)을 구비하는 석영층(402)을 포함한다. 마스크 층(404)은 일반적으로 플루오르화 플라스마 화학 작용을 이용하여 선택적으로 식각하기에 적합하며, 그리고 일 실시예에서, 상기 층은 크롬 또는 다른 포토마스크 재료이다. 선택적 반사 방지 층(406)(점선으로 도시됨)은 마스크 층(404) 상에 배치될 수 있다. 레지스트 층(408)은 이 시점에서 마스크 층(404) 또는 반사 방지 층(406) 상에 배치된다.
레지스트 층(408)은 패턴화되며 도 4b에 도시된 바와 같이, 하부 석영층(402)을 노출시키는 피처(410)를 형성하기 위해서 마스크 층(404)을 식각하는 식각 마스크로서 이용된다. 마스크 층(404)은 전술된 바와 같이 염소 또는 플루오르 함유 가스로부터 형성되는 플라스마를 이용하여 식각될 수 있다. 피처(410)가 마스크 층(404) 내에 형성된 후에, 남아있는 레지스트 층(408)은 도 4c에 도시된 바와 같이 필름 스택(4003)을 남겨놓기 위해서 예를 들어, 회분화에 의해 제거된다. 선택적으로, 레지스트 층(408)은 마스크 층(404) 상에 남아있을 수 있으며 후속적인 프로세싱 중에 부식 및/또는 탈거를 통해 제거된다.
도 4d에 도시된 바와 같이, 피처(410)의 바닥에서 마스크 층(404)을 통해 노출되는 석영층(402)은 전술된 방법(200)을 이용하여 식각된다. 석영 식각의 종점은 도 4d에 도시되는 식각된 석영 트렌치(416)의 깊이(414)는 크롬이 없는 식각 리소그래피 마스크(418)로 이용하도록 의도되는 빛의 소정의 파장에 대해서 석영층(402)을 통해 180 도의 위상 이동된 거리와 거의 동일하도록 선택되며, 예를 들어, 깊이(414)는 마스크(318)와 관련하여 전술된 바와 같이 선택된다.
석영 트렌치(416)가 식각된 후에, 마스크 층(404)의 남아있는 부분이 제거된다. 예를 들어, 마스크 층(404)의 남아있는 부분은 예를 들어, 마스크 층(404)을 패턴화하기 위해서 이용되는 화학 작용을 이용하여, 선택적으로 식각함으로써 제거될 수 있다. 필름 스택(4005)으로부터 남아있는 석영층(402)은 도 4e에 도시된 크롬이 없는 식각 리소그래피 마스크(418)로서 형성된다.
따라서, 석영을 식각하기 위한 방법이 제공되어 종래의 공정을 넘어 트렌치 특성을 유리하게 개선시킨다. 따라서, 본 원에 기재된 석영 식각 방법은 5㎛ 미만의 선폭을 갖는 피처를 패턴화하기에 적합한 위상 이동 포토마스크의 제조를 유리하게 하여 용이하게 한다.
전술된 내용은 본 발명의 실시예에 관한 것이며, 본 발명의 기본 범위를 벗어나지 않고 본 발명의 또 다른 실시예가 고안될 수 있을 것이며, 본 발명의 범위는 다음 청구범위에 의해 결정된다.
도 1은 석영을 식각하기에 적합한 식각로의 개략도.
도 2는 석영을 식각하기 위한 방법의 일 실시예의 흐름도.
도 3a 내지 도 3g는 본 발명의 석영 식각 방법의 일 실시예를 이용하여 제조되는 석영 위상 이동 마스크의 일 실시예의 도면.
도 4a 내지 도 4e는 본 발명의 석영 식각 방법의 일 실시예를 이용하여 제조되는 석영 위상 이동 마스크의 일 실시예의 도면.
※ 도면의 주요 부분에 대한 도면 부호의 설명 ※
122 : 기판 124: 받침대
146 : 제어기 148 : 메모리
200 : 방법 202 : 위치시키는 단계
182 : 레티클 어댑터 188 : 개구
190 : 포토마스크 층 192 : 석영층

Claims (9)

  1. 포토마스크를 형성하는 방법으로서,
    a) 크롬층 상에 제 1 레지스트 층을 패턴화하고;
    b) 내부에 적어도 제 1 개구 및 제 2 개구를 형성하도록 상기 크롬층을 식각하고;
    c) 상기 제 1 레지스트 층을 제거하고;
    d) 상기 크롬층 상에 제 2 레지스트 층을 패턴화하고, 이때 상기 크롬층 내의 상기 제 1 개구는 패턴화된 후에 상기 제 2 레지스트 층으로 충진되며,
    e) 하나 이상의 플루오르화탄소 공정 가스를 프로세싱 챔버에 제공하고, 상기 프로세싱 챔버 내의 기판 지지부 상에 배치되는 석영층을 600 Watts 미만의 복수의 전력 펄스로 바이어스를 가하고, 상기 공정 가스의 플라스마를 300 내지 600 Watts로 유지시키는 것을 포함하는 식각 공정을 이용하여, 193 내지 248 nm의 포토리소그래피 광 파장의 절반의 깊이로 상기 크롬층을 통해 노출되는 상기 석영층의 일부를 식각하고;
    f) 상기 제 2 레지스트 층을 제거하는 것을 포함하는,
    포토마스크를 형성하는 방법.
  2. 제 1 항에 있어서,
    하나 이상의 플루오르화탄소 공정 가스를 제공하는 것은 CHF3, C2F6, C3F8, CF4 또는 C5F8들 중 하나 이상을 포함하는,
    포토마스크를 형성하는 방법.
  3. 제 1 항에 있어서,
    하나 이상의 플루오르화탄소 공정 가스를 제공하는 것은 상기 프로세싱 챔버 내로 CF4 및 CHF3를 유입시키는 것을 포함하는,
    포토마스크를 형성하는 방법.
  4. 제 3 항에 있어서,
    하나 이상의 플루오르화탄소 공정 가스를 제공하는 것은,
    상기 프로세싱 챔버 내로 2 내지 50 sccm의 CF4를 유입시키고,
    상기 프로세싱 챔버 내로 2 내지 50 sccm의 CHF3를 유입시키는 것을 포함하는,
    포토마스크를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 바이어스를 가하는 것은 20 내지 95%의 듀티 사이클로 전력을 펄스화하는 것을 포함하는,
    포토마스크를 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 바이어스를 가하는 것은 1 내지 10 ㎑의 주파수에서 전력을 펄스화하는 것을 더 포함하는,
    포토마스크를 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 바이어스를 가하는 것은 70 내지 90%의 듀티 사이클로 전력을 펄스화하는 것을 포함하는,
    포토마스크를 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 석영층의 일부를 식각하는 것은,
    70 내지 90%의 듀티 사이클에서 20 Watts 내지 150 Watts의 바이어스 전력을 가하고;
    300 Watts 내지 600 Watts의 RF 전력을 상기 프로세싱 챔버 인근에 배치되는 안테나에 유도 결합시키고;
    2 sccm 내지 50 sccm의 CF4를 상기 프로세싱 챔버 내로 유입시키고;
    2 sccm 내지 50 sccm의 CHF3를 상기 프로세싱 챔버 내로 유입시키는 것을 포함하는,
    포토마스크를 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 바이어스 전력이 20 내지 150 Watts인,
    포토마스크를 형성하는 방법.
KR1020080081051A 2005-01-08 2008-08-19 석영 포토마스크 플라스마 식각을 위한 방법 KR101095694B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/031,885 US7879510B2 (en) 2005-01-08 2005-01-08 Method for quartz photomask plasma etching
US11/031,885 2005-01-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060002046A Division KR100886514B1 (ko) 2005-01-08 2006-01-07 석영 포토마스크 플라즈마 식각을 위한 방법

Publications (2)

Publication Number Publication Date
KR20080089315A KR20080089315A (ko) 2008-10-06
KR101095694B1 true KR101095694B1 (ko) 2011-12-20

Family

ID=36128396

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060002046A KR100886514B1 (ko) 2005-01-08 2006-01-07 석영 포토마스크 플라즈마 식각을 위한 방법
KR1020080081051A KR101095694B1 (ko) 2005-01-08 2008-08-19 석영 포토마스크 플라스마 식각을 위한 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060002046A KR100886514B1 (ko) 2005-01-08 2006-01-07 석영 포토마스크 플라즈마 식각을 위한 방법

Country Status (5)

Country Link
US (1) US7879510B2 (ko)
EP (1) EP1679741A1 (ko)
JP (1) JP2006243712A (ko)
KR (2) KR100886514B1 (ko)
TW (1) TWI326467B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
KR101407982B1 (ko) * 2006-11-15 2014-06-17 엘아이지에이디피 주식회사 미세 패턴 임프린트용 글라스 스탬프와 그 제조 방법
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9576773B2 (en) * 2013-07-30 2017-02-21 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001096955A2 (en) 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357195A (en) * 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6062125A (ja) 1983-09-16 1985-04-10 Toshiba Corp プラズマエツチング方法
JPS611023A (ja) 1984-06-13 1986-01-07 Teru Saamuko Kk バツチプラズマ装置
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPS61263125A (ja) 1985-05-15 1986-11-21 Tokuda Seisakusho Ltd ドライエツチング装置
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JPH0691035B2 (ja) 1986-11-04 1994-11-14 株式会社日立製作所 低温ドライエツチング方法及びその装置
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2860653B2 (ja) 1988-06-13 1999-02-24 東京エレクトロン株式会社 プラズマ処理方法
JPH02156529A (ja) 1988-10-11 1990-06-15 Tegal Corp 半導体ウェーハの酸化物層傾斜エッチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02312229A (ja) 1989-05-26 1990-12-27 Fuji Electric Co Ltd プラズマエッチング方法
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JPH03129820A (ja) 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) * 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JP2888258B2 (ja) 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
JP2988122B2 (ja) * 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
JPH06342769A (ja) 1992-08-21 1994-12-13 Nissin Electric Co Ltd エッチング方法及び装置
US5352324A (en) * 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) * 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) * 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
JPH06181185A (ja) 1992-12-14 1994-06-28 Toshiba Corp プラズマ表面処理装置
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
JP3453435B2 (ja) * 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
JPH07142453A (ja) 1993-11-16 1995-06-02 Kokusai Electric Co Ltd プラズマエッチング装置
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) * 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) * 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) * 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
JPH09129621A (ja) 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3559429B2 (ja) * 1997-07-02 2004-09-02 松下電器産業株式会社 プラズマ処理方法
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000091321A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2000114246A (ja) 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
JP2001142194A (ja) 1999-11-15 2001-05-25 Sharp Corp 位相シフトマスクの製造方法
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6428938B1 (en) * 2000-06-19 2002-08-06 Taiwan Semiconductor Manufacturing Company Phase-shift mask for printing high-resolution images and a method of fabrication
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
KR100425445B1 (ko) * 2001-04-24 2004-03-30 삼성전자주식회사 플라즈마 에칭 챔버 및 이를 이용한 포토마스크 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
JP4876357B2 (ja) 2001-09-06 2012-02-15 大日本印刷株式会社 文字記号部を有する基板とその文字記号部の加工方法
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6803160B2 (en) * 2001-12-13 2004-10-12 Dupont Photomasks, Inc. Multi-tone photomask and method for manufacturing the same
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
JP3684206B2 (ja) 2002-04-12 2005-08-17 株式会社東芝 フォトマスク
US7011910B2 (en) * 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
KR20040021729A (ko) 2002-08-29 2004-03-11 삼성전자주식회사 실리콘 산화막의 식각 방법
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7008877B2 (en) * 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
KR200421729Y1 (ko) 2006-04-27 2006-07-18 진재삼 골프매트

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001096955A2 (en) 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates

Also Published As

Publication number Publication date
US20060154151A1 (en) 2006-07-13
TWI326467B (en) 2010-06-21
KR20060081379A (ko) 2006-07-12
JP2006243712A (ja) 2006-09-14
US7879510B2 (en) 2011-02-01
KR20080089315A (ko) 2008-10-06
KR100886514B1 (ko) 2009-03-02
TW200629405A (en) 2006-08-16
EP1679741A1 (en) 2006-07-12

Similar Documents

Publication Publication Date Title
KR101095694B1 (ko) 석영 포토마스크 플라스마 식각을 위한 방법
KR100828781B1 (ko) 포토마스크 제조용으로 적합한 카본 하드마스크를 통한크롬 층의 플라즈마 에칭 방법
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
KR101114131B1 (ko) 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
JP2006215552A5 (ko)
JP2008070882A (ja) 位相シフトフォトマスク及びその製造方法
KR100988112B1 (ko) 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee