TWI326467B - Method for quartz photomask plasma etching - Google Patents

Method for quartz photomask plasma etching Download PDF

Info

Publication number
TWI326467B
TWI326467B TW095100528A TW95100528A TWI326467B TW I326467 B TWI326467 B TW I326467B TW 095100528 A TW095100528 A TW 095100528A TW 95100528 A TW95100528 A TW 95100528A TW I326467 B TWI326467 B TW I326467B
Authority
TW
Taiwan
Prior art keywords
layer
quartz
process chamber
mask
etching
Prior art date
Application number
TW095100528A
Other languages
English (en)
Other versions
TW200629405A (en
Inventor
Scott Alan Anderson
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200629405A publication Critical patent/TW200629405A/zh
Application granted granted Critical
Publication of TWI326467B publication Critical patent/TWI326467B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47BTABLES; DESKS; OFFICE FURNITURE; CABINETS; DRAWERS; GENERAL DETAILS OF FURNITURE
    • A47B3/00Folding or stowable tables
    • A47B3/06Folding or stowable tables with separable parts
    • A47B3/063Folding or stowable tables with separable parts combined with seats
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47BTABLES; DESKS; OFFICE FURNITURE; CABINETS; DRAWERS; GENERAL DETAILS OF FURNITURE
    • A47B13/00Details of tables or desks
    • A47B13/02Underframes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

1326467 玖、發明說明: 【發明所屬之技術領域】 本發明之實施例大體來說係有關於一種電漿蝕刻石 之方法,更明確地說,係有關於一種用於蝕刻石英光罩 方法。 【先前技術】 在積體電路(1C),或晶片,之製造中,代表晶片不 層之圖案係由晶片設計者設計出。一系列的可重複使用 幕,或光罩,係從這些圖案創造出,以在生產製程期間 每一個晶片層之設計轉移至一半導體基材上。罩幕圖案 生系統使用精確的雷射或電子束來將晶片每一層的設計 映至各自的罩幕上。然後以非常類似照相負片的方式使 該等罩幕,以將每一層電路圖轉移至一半導體基材上。 些層係利用一連串製程建構出,並且轉移至含有每一個 整晶片之極小電晶體和電路中。因此,罩幕之任何缺陷 可能會轉移至該晶片,潛在性地對效能產生不良影響。 夠嚴重的缺陷可能使罩幕完全無用。一般來說,使用一 1 5至3 0個的罩幕來建構晶片,並且可以重複使用。 罩幕通常是具有一層鉻在一側上之玻璃或石英基材 罩幕也可含有一層摻雜鉬(Mo)之氮化矽(SiN)。鉻層係經 蓋以一反反射塗層以及一感光性光阻劑。在圖案化製程 間,電路設計係藉由將一部分的光阻劑暴露在紫外光中 使暴露出的部分可以在顯影劑中溶解來寫入該罩幕上。 英 之 同 罩 將 產 反 用 這 完 皆 足 組 覆 期 j 献 < *»、 5 1326467
後除去光阻劑之可溶解部分,使暴露出之下方的 蝕刻。蝕刻製程從罩幕上光阻劑被除去的位置處 反反射層,也就是說,暴露出之鉻被除去。 另一種用來圖案化之罩幕稱為石英相位移罩 相位移罩幕與上述罩幕類似,除了透過該圖案化 出之石英區域之間隔之相鄰區域係經蝕刻至約等 製造期間將該電路圖案轉移至基材之光波長的 度。因此,當光線透過該石英相位移罩幕顯現出 置在該基材上之光阻劑時,透過罩幕中之一開口 光阻劑上之光線相對於通過鄰接開口之光線呈現 反相。因此,可能在罩幕開口邊緣散射之光線被 口邊緣散射之1 8 0度光線抵消,導致光線在光阻 區域中有更緊密的分佈。光線之更緊密分佈促進 關鍵尺寸之特徵的寫入。同樣地,無鉻蝕刻微影 也使用通過兩個罩幕之石英部分之光線之相位移 映像該光阻劑,因此改善用來顯影該光阻劑圖案 佈。 在一蝕刻製程中,稱為乾式蝕刻、反應性離 或電漿蝕刻,使用電漿來輔助化學反應以及蝕刻 罩幕之石英區域。不預期的,習知石英蝕刻製程 具有不同關鍵尺寸之特徵間產生反應性離子蝕刻 lag)。例如,大寬度特徵之水平和垂直蝕刻速率 特徵之水平和垂直蝕刻速率不同。習知石英蝕刻 他屬性,例如蝕刻在該石英層中之溝槽之側壁角 鉻可以被 除去絡和 幕。石英 鉻層暴露 於用來在 一半之深 以暴露配 照射在該 180度的 在鄰接開 劑之預定 具有較小 用之罩幕 ,以依序 之光線分 子姓刻、 暴露出之 通常會在 延遲(RIE 與小寬度 製程之其 度及微溝 6 1326467 槽化(microtrenching),在關鍵尺寸小於約5微米之罩幕上 並沒有可接受的成果。這導致罩幕之蝕刻特徵之不一致並 且相當程度地降低利用該罩幕生產具有小關鍵尺寸之特徵 之能力。 隨著罩幕之關鍵尺寸持續縮小,蝕刻均勻度之重要性 隨之增加。因此,高度期望具有高蝕刻均勻度和低蝕刻延 遲之石英蝕刻製程。 因此,存在有對於改善之石英蝕刻製程之需要。
【發明内容】
本發明大體來說提供一種用於蝕刻石英之方法。在一 實施例中,一種姓刻石英之方法包含在一银刻反應室中提 供一薄膜堆疊,該薄膜堆疊具有一石英層,其透過一圖案化 層部分暴露,提供至少一種氟碳製程氣體至一製程反應室 中,以複數個低於600瓦之功率脈衝偏壓配置在該製程反應 室中之一基材支撐上之石英層,並透過一圖案化光罩來蝕刻 石英層。 在本發明之另一觀點中,提供一種形成光罩之方法。在 一實施例中,該方法包含圖案化一石英層上之罩幕層,利用 一蝕刻製程蝕刻透過該罩幕層暴露出之石英部分至一深 度,在預定深度處終止蝕刻該石英層,以及除去該罩幕層, 其中該蝕刻製程包含提供至少一種氟碳製程氣體至一製程 反應室中,以及以複數個低於600瓦之功率脈衝偏壓配置在 該製程反應室中之一基材支撐上之石英層。 7 1326467 【實施方式】
第1圖描繪一蝕刻反應器10 0之一實施例之簡要圖 式,其中可實施本發明之石英蝕刻方法。適於與在此揭示 之技術併用之反應器包含,例如,去耦合電漿源(DPS®) Π 反應器,或是Tetra I和Tetra Π光罩蝕刻系統,所有這 些皆可從加州聖塔克拉拉之應用材料公司取得。DP S® Π反 應器也可用來做為Centura®整合式半導體晶圓製程系統之 製程模組,也可從應用材料公司取得。在此所示之反應器 1 00之特定實施例僅提供做為例示用,且不應用來限制本 發明之範圍。
反應器100 —般含有一製程反應室102,其具有一基 材座124在一導電主體(壁)104内,以及一控制器146。該 反應室102具有一基本上平坦之介電頂板108。反應室102 之其他變異可具有其他類型的頂板,例如,圓頂狀頂板。 一天線110係經配置在該頂板108上。該天線110包含一 或多個感應線圈組件,其可被選擇性地控制(第1圖示出兩 個同軸組件11 0a和1 1 Ob)。該天線1 1 0係透過一第一匹配 網路11 4連接至一電漿功率來源1 1 2。該電漿功率來源1 1 2 一般能夠在從約50千赫茲至約13.56百萬赫茲範圍内之可 調頻率下產生高至約3 000瓦(W)的功率。在一實施例中, 該電漿功率來源112提供約300至約600瓦之感應耦合RF 功率。 該基材座(陰極)124係透過一第二匹配網路142連接 8 偏壓功率來源1 40。該偏壓來源i 4〇在範圍約i 〇千赫之可調脈衝頻率下提供介於約〇至約6〇〇
率 J 。該偏壓來源140產生脈衝rf功率輸出。或者, 源14 0可產生脈衝直流功率輸出。預期到該來渴 走*可提供固定功率輸出》 在一實施例中,該偏壓來源14〇係經配置以在介 1至約1〇千赫間之頻率下提供低於約600瓦之RF功 边具有介於約1〇至約95%的工作週期(duty eycle)。 〜實施例中,該偏壓來源14〇係經配置以提供介於< 至約150瓦之功率,在介於約2至約5千赫間之 具有介於約8 0至約9 5 %的工作週期。 貫施例中,如在D P S Π反應器中,該基材 124可包含_靜電夾盤16〇<>該靜電夾盤16〇含有至 鉗電極1 32 ’並且係由一夹盤電源供應器丨66控制 實把例中’該基材座124可含有基材留置機構, 圓承座失環、機械夾盤、以及諸如此類者。 配氣盤120與該製程反應室1〇2連接,以提 及/或其他氣體至該製程反應室1〇2内部。 繪之實施例中, ,該配氣盤120與一或多個形成在該 1 〇2之側壁 内之通道Π8中之進氣營116連接 到該—或多個户 該製程反應〜氣管116可提供在其他位置上。例 在.、至1 02之頂板1 08内。 ,,,知例中,該配氣盤1 2 0係適於經由嗜算 116挺供氟化之.•由μ等 <製程氣體進入該製程反應室1〇2内 至約 之功 該偏 140 於約 率, 在另 )20 下, 撐座 一夾 在另 如晶 製程 所描 應室 預期 ,在 氣管 。在 1326467
製程期間,電漿係從該製程氣體形成,並透過感應耦 自該電漿功率來源112之功率來維持。或者該電漿可 端形成或利用其他方法點燃。在一實施例中,該配氣盤 供應之製程氣體至少包含三氟甲烷及/或四氟甲烷。 氟化氣體可包含氟化二碳(C2F)、六氟化四碳(C4F6)、 化三碳(C3F8)和八氟化五碳(C5F8)之一或多個。 該反應室1 0 2内之壓力係利用節流閥1 6 2以及真 浦164控制。該真空幫浦164和節流閥162能夠將反 壓力維持在約1至約2 0毫托耳範圍内。 該側壁1 04之溫度可利用穿過該側壁1 04之含液 導管(未示出)來控制。側壁溫度通常維持在約6 5 °C。 來說,反應室側壁1 0 4係由金屬形成(例如鋁、不鏽鋼 及諸如此類者,並且連接至一電氣接地 106。該製程 室10 2也包含製程控制、内部診斷、終點偵測、以及 此類之習知系統。此類系統集合顯示為支持系統1 5 4 c 使用一標線片接合器1 82來將基材(例如標線片 他工作件)122固定在該基材支撐座124上。該標線片 器182 —般包含一下半部份184,其係經研磨以覆蓋 樓座 124之上表面(例如,該靜電夾盤 160),以及一 部份 1 86,其具有按尺寸訂製並經塑形以抓持該基材 之開口 1 8 8。一般來說,該開口 1 8 8基本上係位於該 座1 24中央。該接合器1 82通常係由單一件耐蝕刻、 溫之材料形成,例如聚亞醯胺陶瓷或石英。一適合之 片接合器在20 01年6月26號核准之美國專利第6,251 合來 在遠 120 其他 八氟 空幫 應室 體之 一般 、以 反應 諸如 或其 接合 該支 上半 122 支撐 耐南 標線 10 ,217 1326467 號中揭示,並在此藉由引用的方式併入本文中。一邊緣環 120可覆蓋及/或固定該接合器ι82在該支撐座ι24上。 使用一舉升機構138來降低或升高該接合器182,因 而該基材122,至該基材支撐座124上或從其上移開。一 般來說,該舉升機構138含有複數個舉升捎(示出一個舉升 梢1 3 0) ’其行進通過各自之導引孔1 3 6。 操作時’該基材1 22之溫度係藉由穩定該基材座1 24 之溫度來控制。在—實施例中,該基材支撐座丨24含有一 加熱器144以及一選擇性的加熱槽ι28。該加熱器144可 以疋經配置以在其中流通熱傳輸流體之一或多個流體導 管。在另一實施例中,該加熱器丨44可包含至少一個加熱 組件1 3 4 ’其係由一加熱器電源供應器i 6 8來調節。選擇 性地’透過一氣體導管! 5 8從一氣體來源〗5 6提供一背側 氣體(例如氦氣(He))至形成在該支撐座表面内該基材122 下方之通道。該背側氣體係用來促進該支撐座丨24和該基 材間之熱傳輸。在製程期間,該支撐座1 24可由嵌入式加 熱器144加熱至一穩定態溫度,其與該氦氣背側氣體聯 合’促進該基材之均勻加熱。 該控制器146含有中央處理單元(CPU)1 50、記憶艎 148 '以及CPU 150用之支持電路152,並促進該製程反應 室1 02之零組件之控制,因之該蝕刻製程之控制,如在下 方進一步詳細討論者。該控制器146可以是任何類型的通 用電腦處理器之一,其可用來控制各種反應室及子處理器 之工業設定。CPU 1 50之記憶體丨48可以是一或多種能輕 11 1326467
易取得之記憶體,例如隨機存取記憶體(RAM)、唯 體(ROM)、軟碟、硬碟、或任何其他類型之數位儲 位或遠端的。該等支持電路152與CPU 150連接以 知方式支持該處理器。這些電路包含快取、電源供 脈電路、輸入/輸出電路及子系統,以及諸如此類 發明方法一般儲存在記憶體148或可由CPU 150存 體常式之其他電腦可讀媒介中。或者,此種軟體常 由一第二 CPU(未示出)儲存及/或執行,其位於 CPU 150控制之硬體遙遠處。 第2圖係用於蝕刻石英之方法2 0 0之一實施例 圖。雖然該方法 200在下面參考用來生產光罩之 述,但方法2 0 0也可有利地使用在其他石英蝕刻應 方法200,其可儲存在該控制器146之記憶體 電腦可讀形式或其他儲存媒介中,在步驟202處將 1 2 2置於支撐座1 2 4上時開始。在一實施例中,該基 靜置在該接合器182之開口 188上。第1圖描繪之 1 2 2包含一透光之矽基材料,例如石英(即,二氧化石; 層 192),其具有一不透明之金屬阻光層,稱為光 190,其在該石英層192表面上形成圖案化之罩幕。 可使用分離的罩幕,或者在其他應用中,配置在該 192上之罩幕可由不同材料組成,並且可包含在該 或藉由一或多個中間層與該基材分離。典型的適於 為光罩層190之金屬包含鉻及/或氮氧化鉻。該基 也可包含一層(未示出)介於該石英層192和光罩層 言買記憶 存,原 利用習 應、時 者。本 取為軟 式也可 距離該 之流程 基材描 用上。 148之 該基材 .材 12 2 該基材 ^ > Si02 罩材料 預期到 石英層 基材中 用來做 材122 190間 12 1326467 之摻雜鉬(Mo)氮化矽(SiN)。 在步驟204,經由該進氣口 116將一或多種氟化製程 氣體通入該製程反應室102中。例示製程氣體可包含四氟 曱烷和三氟曱烷,除了其他的之外。該製程氣體可進一步 包含惰性氣體,例如氣氣、氬氣、氣氣、氖氣、和氪氣。
在一實施例中,含絡之基材1 2 2係利用T e t r a I、T e t r a Π或DPS® I[蝕刻模組藉由提供速率為每分鐘2至50標準 立方公分(seem)之四氟化碳和速率為2至50 seem之一氟 曱烷(CFH3)來進行蝕刻。一特定製程配方提供速率為 9 seem之四氣曱院、速率為26 seem之三氟甲烧。該製程反 應室内之壓力控制在低於約 40毫托耳,並且在一實施例 中,介於約1和約10毫托耳間,例如2毫托耳。 在步驟206,低於約600瓦,並且在一第一實例中, 低於約10 0瓦,並且在一第二實例中,介於2 0和約1 5 0 瓦間,之脈衝基材偏壓功率係經施加至該支撐座1 2 4以偏 壓該基材1 2 2。一特定製程配方施加約6 5瓦之偏壓功率。
在一實施例中,該偏壓來源1 4 0係經配置以在介於約 1至約10千赫之頻率下提供低於約600瓦之RF功率,並 具有介於約1 0至約9 5 %之工作週期。在另一實施例中,該 偏壓來源1 4 0係經配置以提供介於約2 0至約1 5 0瓦之功 率,在介於約2至約5千赫間之頻率下,並具有介於約20 至約9 5 %的工作週期。
在該石英層含有一層圖案化光阻在其上之實施例 中,.該偏壓來源140提供介於約20至約150瓦之脈衝RF 13 1326467 功率,在介於約2至約5千赫間之頻率下,並具有介於約 70至約90 %的工作週期。在該石英層不具有一層圖案化光 阻在其上之實施例中,該偏壓來源1 4 0提供介於約2 0至約 150瓦之脈衝RF功率,在介於約2至約5千赫間之頻率 下,並具有介於約2 0至約4 0 %的工作週期。
在步驟2 0 8,電漿係藉由從該電漿功率來源1 1 2施加 介於約300至約600瓦之RF功率至該天線110從該等製 程氣體形成。預期到該電漿可用其它方式點燃。在一實施 例中,在約13.56百萬赫茲之頻率下施加約420瓦之RF 功率至該天線11 0。 在步驟210,蝕刻該基材122上暴露出之石英層192 直到達到終點為止。該終點可由時間、光學干涉(optical interferometry)或利用其他適合方法判定。 石英蝕刻方法2 0 0優於習知蝕刻方法處包含減少蝕刻 延遲、微溝槽化和更佳的垂直側壁角度控制,因此使方法 2 00在生產小電路尺寸之蝕刻應用中成為極受歡迎的。
第3 A — G圖描繪出薄膜堆疊3 0 0 i之一實施例,其係 利用上述方法200製造成為石英相位移罩幕3 1 8。下標”i” 係表示第 3A— G圊所示之薄膜堆疊之不同製造階段之整 數。 該薄膜堆疊30(h,在第3A圖中描繪出,包含一石英 層302,其具有一光罩層304配製在其上。該光罩層304 一般是鉻或其他適合材料,例如上述者。可在該光罩層304 上沉積選擇性的反反射層 306(以虛線示出)。一第一光阻 14 1326467
層308係經配置在該光罩層304或反反射層306上 在時。 將該第一光阻層3 0 8圖案化並用來做為蝕刻該 304之蝕刻罩幕,以形成暴露出下方石英層 302 3 1 0,如第3 B圖所描繪者。可利用從含氯氣體(例: 或含氟氣體(例如六氟化硫或四氟曱烷)形成之電漿 該光罩層304。一例示蝕刻製程在2002年9月4號 請之美國專利申請案第1 0/23 5,223號中揭示,其在 引用其全文的方式併入本文中。預期到也可使用其 之金屬蝕刻製程。在該等特徵310形成在該光罩層 之後,將餘下的第一光阻層3 0 8去除,例如,利用在 而留下如第3C圖所示之薄膜堆疊3003。 如第3 D圖所示,一第二光阻層3 12係經配置 膜堆疊3004上,填充該等特徵310。接著圖案化該 阻層 312。通常在形成一石英相位移罩幕時,該圖 第二光阻層 312暴露出間隔之特徵 310底部處之 3 02,如第3E圖所示般。 利用上述方法200來蝕刻透過該圖案化之第二 3 1 2暴露出之石英層3 02。該石英蝕刻之終點係經選 第3F圖所示之蝕刻出之石英溝槽316之深度314 欲與該石英相位移光罩318並用之預定之光波長透 英層3 02之180度相位移長度。典型的波長是193 奈米。因此,深度314通常約是172或240奈米, 可為欲與不同之微影光波長並用之罩幕使用其他深 ,當存 光罩層 之特徵 p氯氣) 來#刻 提出申 此藉由 他適合 304中 化法, 在該薄 第二光 案化之 石英層 光阻層 擇而使 約等於 過該石 和248 雖然也 度。在 15 1326467
蝕刻該石英溝槽316後,除去餘下之第二光阻層3 如,利用灰化法,而使餘下之薄膜堆疊3007形成一 位移罩幕3 1 8,如第3 G圖所示般。 第4A — E圖描繪出薄膜堆疊40〇i之一實施例, 用上述方法200製造成為無鉻蝕刻微影罩幕418。 係表示第 4 A — E圖所示之薄膜堆疊之不同製造階 數。 該薄膜堆疊400!,在第4A圖中描繪出,包含 層4 02,其具有一罩幕層404配製在其上。該罩幕 通常適於利用氟化之電漿化學品選擇性地蝕刻石英 在一實施例中係鉻或其他光阻材料。可在該罩幕層 沉積選擇性的反反射層 406(以虛線示出)。一光阻 係經配置在該罩幕層404或反反射層406上,當存. 將該光阻層4 0 8圖案化並用來做為蝕刻該罩幕 之蝕刻罩幕,以形成暴露出下方石英層402之特徵 如第3 B圖所描繪者。可如上所述般利用含氣或氟 成之電漿來蝕刻該罩幕層404。在該等特徵410形 罩幕層404中之後,將餘下的光阻層408去除,例 用灰化法,而留下如第4C圖所示之薄膜堆疊4003 地,可讓該光阻層408遺留在該罩幕層404上,並 後製程期間之腐蝕及/或去光阻來去除。 如第4D圖所示,利用上述方法200來蝕刻透 幕層404暴露出之位於該等特徵410底部之石英層 該石英蝕刻之終點係經選擇而使第4 D圖所示之蝕 12,例 石英相 其係利 下標” i” 段之整 一石英 層 404 ,並且 404上 層 408 在時。 層404 410, 氣體形 成在該 如,利 。選擇 透過隨 過該罩 402 ° 刻出之 16 1326467 石英溝槽416之深度414約等於欲與該無鉻蝕刻微影罩幕 418並用之預定之光波長透過該石英層402之180度相位 移長度,例如,深度414係如上參考該罩幕318所述般選 擇。
在蝕刻該石英溝槽416後,除去該罩幕層404之餘下 部分。例如,可利用選擇性蝕刻來除去該罩幕層404之餘 下部分,例如,使用用來圖案化該罩幕層4 0 4之化學品。 從該薄膜堆疊4005餘下之石英層402形成如第4E圖所示 之無鉻蝕刻微影罩幕4 1 8。 因此,已經提供一種用於蝕刻石英之方法,其相對於 習知製程有利地改善溝槽特性。因此,在此所述之蝕刻石 英之方法有利地促進適於圖案化具有次5微米關鍵尺寸之 特徵之相位移光罩之製造。 雖然前述係針對本發明之實施例,但本發明之其他及 進一步實施例可在不背離其基本範圍下設計出,並且其範 圍係由下述申請專利範圍決定。
【圖式簡單說明】 因此可以詳細瞭解上述本發明之特徵的方式,即對本 發明更明確的描述,簡短地在前面概述過,可以藉由參考 實施例來得到,其中某些在附圖中示出。但是需要注意的 是,附圖只示出本發明之一般實施例,因此不應被認為係 對其範圍之限制,因為本發明可允許其他等效實施例。 第1圖係適於蝕刻石英之蝕刻反應器之簡要圖式; 17 1326467 第2圖係一種蝕刻石英之方法之一實施例之流程圖; 第 3 A _ G圖係利用本發明之石英蝕刻方法之一實施 例製造之石英相位移罩幕之一實施例;以及 第4 A — E圖係利用本發明之石英蝕刻方法之一實施例 製造之石英相位移罩幕之一實施例。 為了促進了解,在可能時使用袓同的元件符號來表示 圖式共用之相同元件。
【主要元件符號說明】 100 ik 刻 反 應 器 102 製 程 反 應室 1 04 側 壁 106 接 地 108 頂 板 110、 11 0 a 、 1 1 Ob 天線 112 電 漿 功 率 來 源 114、 142 匹配網路 116 進 氣 管 118 通 道 120 配 氣 盤 122 基 材 124 基 材 座 126 邊 緣 環 128 加 熱 槽 130 舉 升 捎 132 夾 鉗 電 極 134 加 敎 組 件 136 導 引 孔 138 舉 升 機 構 140 偏 壓 功 率 來 源 144 加 熱 器 146 控 制 器 148 記 憶 體 150 中 央 處 理 單 元 152 支 持 電 路 154 支 持 系 統 156 氣 體 來 源 158 氣 體 導 管 160 靜 電 夹 盤
18 1326467
1 62 節流閥 164 真空幫浦 166 夾盤電源供應器 168 加熱器電源 182 接合器 184 接合器下半 186 接合器上半部份 188 開口 190、 304 光罩層 192、 302 ' 402 200 方法 202 放置步驟 204 氣體通入步驟 206 脈衝偏壓步 208 電漿形成步驟 2 10 蝕刻步驟 300、 400 薄膜堆疊 306、 406 反反秦' 308 第一光阻層 3 10、 410 特徵 3 12 第二光阻層 3 14、 414 深度 316、 416 石英溝槽 3 18 相位移罩幕 404 罩幕層 408 光阻層 418 無鉻蝕刻微影罩幕 供應器 部份 石英層 驟 ί層
19

Claims (1)

1326467
第號專利案”年(月修正 拾、申請專利範圍: 1. 一種钱刻石英之方法,其至少包含: 在配置於一製程反應室中之一基材支撐上提供一薄膜 堆疊,該薄膜堆疊具有一石英層,其中該石英層透過一圖案 化層部分暴露; 提供至少一種氟碳製程氣體至該製程反應室中;
以複數個低於600瓦之功率脈衝偏壓該石英層,其中該 石英層係配置在該製程反應室中之該基材支撐上,偏壓功率 係被脈衝化在介於約1至約1 0千赫之頻率,而具有介於約 2 0至約9 5 %之工作週期;以及 透過該圖案化層來蝕刻該石英層。 2.如申請專利範圍第1項所述之方法,其中上述之提供至 少一種氟碳製程氣體之步驟至少包含: 通入四氟曱烷(CF4)至該製程反應室中。
3. 如申請專利範圍第1項所述之方法,其中上述之提供至 少一種氟碳製程氣體之步驟至少包含: 通入三氟甲烷(CHF3)至該製程反應室中。 4. 如申請專利範圍第1項所述之方法,其中上述之提供至 少一種氟碳製程氣體之步驟至少包含: 通入約 2至約 50 seem之四氟曱烧至該製程反應室 20 1326467
中;以及 通入約2至約50 sc cm之三氟甲烷至該製程反應室中。 5.如申請專利範圍第1項所述之方法,其中上述之圖案化 層並非光阻;並且偏壓之步驟至少包含: 產生工作週期介於約20至約40%之脈衝功率。
6.如申請專利範圍第1項所述之方法,其中上述之圖案化 層包含光阻;並且偏壓之步驟至少包含: 產生工作週期介於約70至約90%之脈衝功率。 7. —種形成一光罩之方法,其至少包含: a) 圖案化一罩幕層,其中該罩幕層係配置在一製程反應 室中之基材支撐上之一石英層上;
b) 利用一#刻製程而蚀刻透過該罩幕層暴露出之石英. 部分至一深度,其至少包含: 提供至少一種氟碳製程氣體至該製程反應室中; 以複數個低於600瓦之功率脈衝偏壓石英層,其中 該石英層係配置在該基材支撐上,偏壓功率係被脈衝化在介 於約1至約1 0千赫之頻率,而具有介於約2 0至約9 5 %之 工作週期;以及 維持該製程反應室中之該製程氣體電漿; c) 在一預定蝕刻深度處终止蝕刻該石英層;以及 21 1326467
d)除去該罩幕層。 8.如申請專利範圍第7項所述之方法,其中上述之圖案化 一罩幕之步驟至少包含: 圖案化該罩幕層上之一光阻層,其中該罩幕層含有鉻; 蝕刻該鉻罩幕層以形成穿過其間之開口;以及 從該絡罩幕層上除去該光阻層。
9. 如申請專利範圍第8項所述之方法,其中上述之提供至 少一種氟碳製程氣體之步驟至少包含三氟甲烷(CHF3)、六 氟化二碳(C2F6)、八氟化三碳(C3F8)、四氟曱烷(CF4)或八 氟化五碳(C5F8)之至少一種。 10. 如申請專利範圍第8項所述之方法,其中上述之提供 至少一種氟碳製程氣體之步驟至少包含:
通入四氟曱烷和三氟曱烷至該製程反應室中。 11. 如申請專利範圍第8項所述之方法,其中上述之維持 該電漿之步驟進一步包含: 感應耦合介於約1 00至約600瓦間之射頻功率至配置 在該製程反應室鄰近處之一天線。 12. 如申請專利範圍第8項所述之方法,其中上述之圖案 22 ί S 1326467
化一罩幕之步驟至少包含: 圖案化位於一不透明金屬阻光層上之第一光阻層; 蝕刻該金屬阻光層以形成穿過其間之至少一第一開口 以及一第二開口; 圖案化位於該不透明金屬阻光層上之第二光阻層以形 成該罩幕層,其+該金屬阻光層中之該第一開口在圖案化 之後保持被該第二光阻層填滿之狀態。
13. 如申請專利範圍第12項所述之方法,其中上述之提供 至少一種氟碳製程氣體之步驟至少包含三氟甲烷、六氟化 二碳、八氟化三碳、四氟甲烧或八氟化五碳之至少一種。 14. 如申請專利範圍第12項所述之方法,其中上述之偏壓 步驟至少包含: 產生工作週期介於約20至約95%之脈衝功率。
15. 如申請專利範圍第14項所述之方法,其中上述之偏壓 步驟進一步包含: 在介於約1至約1 0千赫之頻率下產生脈衝功率。 16. 如申請專利範圍第15項所述之方法,其中上述之形成 一電漿之步驟進一步包含: 感應耦合介於約1 0 0至約6 0 0瓦間之射頻功率至配置 23 1326467 ff年/月A
,—«τ'* 铜兄 在該製程反應室鄰近處之一天線。 17. 如申請專利範圍第12項所述之方法,其中上述之不透 明金屬阻光層是鉻。 18. 如申請專利範圍第12項所述之方法,其中上述之功率 脈衝低於1 5 0瓦。
19. 如申請專利範圍第7項所述之方法,其中上述之罩幕 層並非光阻;並且偏壓之步驟至少包含: 產生工作週期介於約20至約40%之脈衝功率。 20. 如申請專利範圍第7項所述之方法,其中上述之罩幕 層是光阻;並且偏壓之步驟至少包含: 產生工作週期介於約70至約90%之脈衝功率。
21. —種形成一光罩之方法,其至少包含: a) 圖案化位於一鉻層上之第一光阻層; b) 蝕刻該鉻層以形成穿過其間之至少一第一開口以及 一第二開口; c) 除去該第一光阻層; d) 圖案化位於該鉻層上之第二光阻層,其中該鉻層中 之該第一開口在圖案化之後保持被該第二光阻層填滿之狀 24 [S1 1326467
e) 利用一蝕刻製程而蝕刻透過該鉻層暴露出之石英層 部分至一深度,其中該深度係介於約1 93至約248奈米間之 微影光波長之一半,其至少包含:
提供至少一種氟碳製程氣體至一製程反應室中; 以複數個低於600瓦之功率脈衝偏壓石英層,其中 該石英層係配置在該製程反應室内之基材支撐上,偏壓功率 係被脈衝化在介於約1至約1 0千赫之頻率,而具有介於約 2 0至約9 5 %之工作週期;以及 以介於約1 00至約600瓦間之功率維持該製程氣體 電漿;以及 f) 除去該鉻層。 22.如申請專利範圍第21項所述之方法,其中上述之提供 至少一種氟碳製程氣體之步驟至少包含三氟甲烷、六氟化 二碳、八氟化三破、四氟曱烧或八氟化五碳之至少一種。
23.如申請專利範圍第21項所述之方法,其中上述之提供 至少一種氟碳製程氣體之步驟至少包含: 通入四氟曱烷和三氟甲烷至該製程反應室中。 24.如申請專利範圍第23項所述之方法,其中上述之提供 一種氟碳製程氣體之步驟至少包含: 25
1326467 » 通入約 2至約 50 seem之四氟曱院至該製程反應室 中;以及 通入約2至約50 seem之三氟甲烧至該製程反應室中。 25.如申請專利範圍第21項所述之方法,其中上述之偏壓 步驟至少包含: 產生工作週期介於約7 0至約9 0 %之脈衝功率。
26.如申請專利範圍第21項所述之方法,其中上述之蝕刻 該石英層部分之步驟至少包含: 施加工作週期介於約2 0至約9 0 %之低於約1 5 0瓦之偏 壓功率; 感應耦合低於約600瓦之射頻功率至配置在該製程反 應室鄰近處之一天線; 通入低於約15 seem之四氟曱烧至該製程反應室中; 以及 通入低於約3 0 seem之三氟甲烷至該製程反應室中。 27.如申請專利範圍第21項所述之方法,其中上述之偏壓 功率介於約2 0至約1 5 0瓦間。 26
TW095100528A 2005-01-08 2006-01-05 Method for quartz photomask plasma etching TWI326467B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/031,885 US7879510B2 (en) 2005-01-08 2005-01-08 Method for quartz photomask plasma etching

Publications (2)

Publication Number Publication Date
TW200629405A TW200629405A (en) 2006-08-16
TWI326467B true TWI326467B (en) 2010-06-21

Family

ID=36128396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095100528A TWI326467B (en) 2005-01-08 2006-01-05 Method for quartz photomask plasma etching

Country Status (5)

Country Link
US (1) US7879510B2 (zh)
EP (1) EP1679741A1 (zh)
JP (1) JP2006243712A (zh)
KR (2) KR100886514B1 (zh)
TW (1) TWI326467B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
KR101407982B1 (ko) * 2006-11-15 2014-06-17 엘아이지에이디피 주식회사 미세 패턴 임프린트용 글라스 스탬프와 그 제조 방법
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9576773B2 (en) * 2013-07-30 2017-02-21 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357195A (en) * 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6062125A (ja) 1983-09-16 1985-04-10 Toshiba Corp プラズマエツチング方法
JPS611023A (ja) 1984-06-13 1986-01-07 Teru Saamuko Kk バツチプラズマ装置
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPS61263125A (ja) 1985-05-15 1986-11-21 Tokuda Seisakusho Ltd ドライエツチング装置
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JPH0691035B2 (ja) 1986-11-04 1994-11-14 株式会社日立製作所 低温ドライエツチング方法及びその装置
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2860653B2 (ja) 1988-06-13 1999-02-24 東京エレクトロン株式会社 プラズマ処理方法
JPH02156529A (ja) 1988-10-11 1990-06-15 Tegal Corp 半導体ウェーハの酸化物層傾斜エッチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02312229A (ja) 1989-05-26 1990-12-27 Fuji Electric Co Ltd プラズマエッチング方法
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JPH03129820A (ja) 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) * 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JP2888258B2 (ja) 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
JP2988122B2 (ja) * 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
JPH06342769A (ja) 1992-08-21 1994-12-13 Nissin Electric Co Ltd エッチング方法及び装置
US5352324A (en) * 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) * 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) * 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
JPH06181185A (ja) 1992-12-14 1994-06-28 Toshiba Corp プラズマ表面処理装置
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
JP3453435B2 (ja) * 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
JPH07142453A (ja) 1993-11-16 1995-06-02 Kokusai Electric Co Ltd プラズマエッチング装置
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) * 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) * 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) * 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
JPH09129621A (ja) 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3559429B2 (ja) * 1997-07-02 2004-09-02 松下電器産業株式会社 プラズマ処理方法
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2000091321A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2000114246A (ja) 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
JP2001142194A (ja) 1999-11-15 2001-05-25 Sharp Corp 位相シフトマスクの製造方法
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2004503829A (ja) * 2000-06-15 2004-02-05 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層をエッチングする方法および装置
US6428938B1 (en) * 2000-06-19 2002-08-06 Taiwan Semiconductor Manufacturing Company Phase-shift mask for printing high-resolution images and a method of fabrication
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
KR100425445B1 (ko) * 2001-04-24 2004-03-30 삼성전자주식회사 플라즈마 에칭 챔버 및 이를 이용한 포토마스크 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
JP4876357B2 (ja) 2001-09-06 2012-02-15 大日本印刷株式会社 文字記号部を有する基板とその文字記号部の加工方法
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6803160B2 (en) * 2001-12-13 2004-10-12 Dupont Photomasks, Inc. Multi-tone photomask and method for manufacturing the same
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
JP3684206B2 (ja) 2002-04-12 2005-08-17 株式会社東芝 フォトマスク
US7011910B2 (en) * 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
KR20040021729A (ko) 2002-08-29 2004-03-11 삼성전자주식회사 실리콘 산화막의 식각 방법
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7008877B2 (en) * 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
KR200421729Y1 (ko) 2006-04-27 2006-07-18 진재삼 골프매트

Also Published As

Publication number Publication date
US20060154151A1 (en) 2006-07-13
KR20060081379A (ko) 2006-07-12
JP2006243712A (ja) 2006-09-14
US7879510B2 (en) 2011-02-01
KR101095694B1 (ko) 2011-12-20
KR20080089315A (ko) 2008-10-06
KR100886514B1 (ko) 2009-03-02
TW200629405A (en) 2006-08-16
EP1679741A1 (en) 2006-07-12

Similar Documents

Publication Publication Date Title
TWI326467B (en) Method for quartz photomask plasma etching
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR101114131B1 (ko) 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
TWI391987B (zh) 用於處理光微影倍縮光罩的方法
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
JP2006215552A5 (zh)
JP2006209126A (ja) フォトマスク製作に適したモリブデン層をエッチングするための方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees