KR100988112B1 - 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법 - Google Patents

균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법 Download PDF

Info

Publication number
KR100988112B1
KR100988112B1 KR1020070130986A KR20070130986A KR100988112B1 KR 100988112 B1 KR100988112 B1 KR 100988112B1 KR 1020070130986 A KR1020070130986 A KR 1020070130986A KR 20070130986 A KR20070130986 A KR 20070130986A KR 100988112 B1 KR100988112 B1 KR 100988112B1
Authority
KR
South Korea
Prior art keywords
layer
quartz
etching
processing chamber
process gas
Prior art date
Application number
KR1020070130986A
Other languages
English (en)
Other versions
KR20080056652A (ko
Inventor
레니 코치
스코트 에이. 앤더슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080056652A publication Critical patent/KR20080056652A/ko
Application granted granted Critical
Publication of KR100988112B1 publication Critical patent/KR100988112B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

석영 에칭 공정이 본 발명에서 설명된다. 일 실시예에서, 석영 에칭 방법은 패턴 층을 통해 부분 노출된 석영 층을 갖는 필름 스택(stack)을 처리 챔버 내에 배열된 기판 지지대 상에 제공하는 단계; 및 적어도 하나의 플루오로카본 공정 가스와 염소 함유 공정 가스를 포함하는 제 1 공정 가스를 사용하여 상기 석영 층을 에칭하는 제 1 단계와, 적어도 하나의 플루오로카본 공정 가스를 포함하는 제 2 공정 가스를 사용하여 상기 석영 층을 에칭하는 제 2 단계를 포함하는 다단계 공정으로 상기 필름 스택의 석영 층을 에칭하는 단계를 포함한다.

Description

균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는 방법 {MULTI-STEP PHOTOMASK ETCHING WITH CHLORINE FOR UNIFORMITY CONTROL}
본 발명의 실시예들은 일반적으로 석영을 플라즈마 에칭하는 방법에 관한 것이며, 특히 다단계 에칭 공정을 사용하여 석영 포토마스크를 에칭하는 방법에 관한 것이다.
집적 회로(IC), 또는 칩의 제조에 있어서, 칩의 상이한 층들을 나타내는 패턴들은 칩 디자이너에 의해 형성된다. 일련의 재사용 가능한 마스크나 포토마스크들은 제조 공정 중에 각각의 칩 층의 디자인을 반도체 기판 상에 전사하기 위해 이들 패턴으로부터 형성된다. 마스크 패턴 생성 시스템은 정밀 레이저 또는 전자 비임을 사용하여 칩의 각각의 층에 대한 디자인이 각각의 마스크 상에 이미지화되게 한다. 마스크는 각각의 층에 대한 회로 패턴을 반도체 기판 상으로 전사하기 위한 포토그래픽 네거티브(photographic negative)처럼 많이 사용된다. 이들 층들은 일련의 공정들을 사용하여 적층되며 소형 트랜지스터로 변형된다. 따라서, 마스크 내의 어떠한 결함도 칩으로 전사되어 성능에 잠재적으로 악영향을 끼친다. 충분한 역할을 하는 결함은 마스크를 완전히 무용지물화 한다. 통상적으로, 15 내지 30 세트의 마스크가 칩을 제조하는데 사용되며 반복적으로 사용될 수 있다.
마스크는 통상적으로, 한쪽 면에 크롬 층을 갖는 유리 또는 석영 기판이다. 마스크는 또한, 몰리브덴(Mb)으로 도프된 실리콘 질화물(SiN) 층을 포함할 수 있다. 크롬 층은 반사 방지 코팅 및 감광성 레지스트(photosensitive resist)로 도포된다. 패턴화 공정 중에, 회로 디자인은 자외선에 저항하는 부분들을 노출시켜 노출된 부분을 현상 용액에 용해될 수 있게 함으로써 마스크 상에 기록되게 한다. 레지스트의 용해 가능한 부분은 그후에 제거되어 노출된 하부 크롬이 에칭될 수 있게 한다. 에칭 공정은 레지스트가 제거된, 즉 노출된 크롬이 제거된 위치에 있는 마스크로부터 반사 방지 층과 크롬을 제거한다.
패턴화를 위해 사용되는 다른 마스크는 석영 상 변위 마스크(Phase Shift Mask)로서 공지되어 있다. 석영 상 변위 마스크는 패턴화된 크롬 층을 통해 노출된 석영 영역의 교대하는 인접 영역이 제조 중에 회로 패턴을 기판으로 전사시키는데 사용될 광 파장의 대략 반인 깊이로 에칭시키는 것을 제외하면, 전술한 마스크와 유사하다. 따라서, 광이 석영 상 변위 마스크를 통해 투사되어 웨이퍼 기판 상에 배열된 레지스트를 노출시킬 때, 마스크 내의 하나의 개구를 통해 레지스트 내에서 충돌하는 광은 바로 인접한 개구를 통과하는 광에 대해 180도 위상 반전위상반전(Out Of Phase)이 된다. 그러므로, 마스크 개구의 에지에서 산란될 광은 인접 개구의 에지에서 산란될 광을 180도로 상쇄시켜 레지스트의 예정 영역 내에 보다 조밀한 광을 분포시키게 된다. 보다 조밀한 광의 분포는 보다 작은 임계 치수를 갖는 피쳐들의 기록을 용이하게 한다. 유사하게, 무 크롬 에칭 리소그래피에 사용 되는 마스크도 순차적으로 레지스트를 이미지화하기 위해 두 개의 마스크의 석영 부분을 통과하는 광을 위상 변위시키는데 사용됨으로써, 레지스트 패턴을 현상하는데 사용되는 광 분포를 개선한다.
건식 에칭, 반응성 이온 에칭(RIE), 또는 플라즈마 에칭으로서 하나의 에칭 공정에서, 플라즈마는 화학 반응를 개선하고 마스크의 노출된 석영 영역을 에칭하는데 사용된다. 바람직하지 않게, 종래의 석영 에칭 공정은 종종, 상이한 임계 치수를 갖는 피쳐들 사이에 RIE 래그(lag)를 나타낸다. 예를 들어, 커다란 폭을 갖는 피쳐의 수직 에칭률은 보다 작은 폭의 수직 에칭률과 상이하다. 석영 층 내의 에칭된 트렌치 및 마이크로트렌치의 측면 각도와 같은 종래의 석영 에칭 공정의 다른 특성들은 약 5 ㎛ 미만의 임계 치수를 갖는 마스크에 대한 허용할만한 결과를 입증하지 못하고 있다. 따라서, 마스크의 에칭된 피쳐들의 불균일성에 대한 이러한 결과들은 작은 임계 치수를 갖는 피쳐들을 마스크를 사용하여 생성할 능력을 감소시킨다.
마스크의 임계 치수가 계속해서 작아지므로, 에칭 균일성에 대한 중요성이 증대되고 있다. 따라서, 고 에칭 균일성과 낮은 RIE 래그를 갖는 석영 에칭 공정이 매우 바람직하다.
따라서, 개선된 석영 에칭 공정이 필요하다.
석영 에칭 공정이 본 발명에서 설명된다. 일 실시예에서, 석영 에칭 방법은 패턴 층을 통해 부분 노출된 석영 층을 갖는 필름 스택(stack)을 처리 챔버 내에 배열된 기판 지지대 상에 제공하는 단계; 및 하나 이상의 플루오로카본 공정 가스와 염소 함유 공정 가스를 포함하는 제 1 공정 가스를 사용하여 상기 석영 층을 에칭하는 제 1 단계와, 적어도 하나의 플루오로카본 공정 가스를 포함하는 제 2 공정 가스를 사용하여 상기 석영 층을 에칭하는 제 2 단계를 포함하는 다단계 공정으로 상기 필름 스택의 석영 층을 에칭하는 단계를 포함한다.
본 발명의 전술한 특징들이 더욱 상세히 이해될 수 있는 방식으로, 간단히 요약한 본 발명에 대해 첨부 도면에 일부 도시된 몇몇 실시예들을 참고로 더욱 상세히 설명될 것이다. 그러나, 첨부 도면들은 본 발명의 단지 통상적인 실시예들만을 도시하는 것이므로, 본 발명의 범주를 한정하는 것이라고 판단해서는 않되며 다른 유효한 실시예들이 허용될 수 있음을 인정해야 한다.
이해를 촉진시키기 위해, 도면에 있어서 공통인 동일한 소자들을 나타내기 위해서 가능하다면, 동일한 도면 부호가 사용되었다.
도 1은 본 발명의 석영 에칭 방법이 실시될 수 있는 에칭 반응로(100)의 일 실시예를 도시하는 개략적인 도면이다. 본 발명에 설명된 기술들에 사용될 수 있는 적합한 반응로는 예를 들어, 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 이용가능한, 분리형 플라즈마 소오스(DPS(등록 상표)) Ⅱ 반응로, 또는 TEERA(등록 상표) Ⅰ 및 TETRA(등록 상표) Ⅱ 포토마스트 에칭 시스템이 포함된다. DPS(등록 상표) Ⅱ 반응로는 또한, 어플라이드 머티리얼즈 인코포레이티드로부터 이용가능한 센츄라(등록 상표) 통합형 반도체 웨이퍼 처 리 시스템의 처리 모듈로서도 사용될 수 있다. 본 발명에 도시된 반응로(100)의 특정 실시예는 설명의 목적으로 제공된 것이며 본 발명의 범주를 한정하고자 하는 것이라고 이해해서는 않 된다.
반응로(100)는 일반적으로, 전도성 몸체(벽)(104) 내에 기판 받침대(124)를 갖는 처리 챔버(102), 및 제어기(146)를 포함한다. 챔버(102)는 실질적으로 평탄한 유전체 천정(108)을 가진다. 챔버(102)의 다른 변형 형태로는 다른 형태, 예를 들어 돔형 천정을 가질 수 있다. 안테나(110)는 천정(108) 위에 배열된다. 안테나(110)는 선택적으로 제어될 수 있는(도 1에 도시된 동축 소자(110a,110b)) 하나 이상의 유동 코일 소자를 포함한다. 안테나(112)는 제 1 정합 네크워크(114)를 통해서 플라즈마 동력원(112)에 연결된다. 플라즈마 동력원(112)은 통상적으로, 약 2 MHz 내지 약 200 MHz 범위, 예를 들어 13.56 MHz의 가변 주파수에서 약 3000 와트까지의 RF 신호를 생성할 수 있다. 일 실시예에서, 플라즈마 동력원(112)는 약 300 내지 약 600 와트의 유도 결합된 RF 동력을 제공한다.
기판 받침대(캐소드)(124)는 제 2 정합 네트워크(142)를 통해서 바이어스 동력원(140)에 연결된다. 바이어스 동력원(140)은 약 2 MHz 내지 약 200 MHz 범위의 가변 주파수에서 약 0에서 600 와트 범위의 RF 신호를 생성한다. 바이어스 동력원(140)은 약 1 내지 약 10 kHz 범위의 가변 펄스 주파수를 갖는 연속적인 웨이브 출력 또는 펄스 출력을 제공하도록 구성될 수 있다. 이와는 달리, 바이어스 동력원(140)은 펄스형 DC 동력 출력을 생성할 수 있다.
일 실시예에서, 바이어스 동력원(140)은 약 10 내지 약 95%의 듀티 사이클을 갖는, 약 1 내지 약 10 kHz 범위의 주파수에서 약 600 와트 미만의 RF 동력을 생성하도록 구성된다. 다른 실시예에서, 바이어스 동력원(140)은 약 80 내지 약 95%의 듀티 사이클을 갖는, 약 2 내지 약 5 kHz 범위의 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 생성하도록 구성된다.
DPS(등록 상표) Ⅱ 반응로에서와 같은 일 실시예에서, 기판 지지 받침대(124)는 정전기 척(160)을 포함할 수 있다. 정전기 척(160)은 적어도 하나의 클램핑 전극(132)을 포함하며 척 동력 공급원(166)에 의해 제어된다. 다른 실시예에서, 기판 받침대(124)는 서셉터 클램핑 링, 기계식 척 등과 같은 기판 유지 기구를 포함할 수 있다.
가스 패널(120)은 공정 가스 및/또는 다른 가스를 처리 챔버(102)의 내측으로 제공하도록 처리 챔버(102)에 연결된다. 도 1에 도시된 실시예에서, 가스 패널(120)은 처리 챔버(102)의 측벽(104) 내에 있는 채널(118)에 형성되는 하나 이상의 입구(116)에 연결된다. 하나 이상의 입구(116)가 다른 위치, 예를 들어 처리 챔버(102)의 천정(108) 내측에 제공될 수 있다고 이해해야 한다.
일 실시예에서, 가스 패널(120)은 입구(116)를 통한 하나 이상의 공정 가스를 처리 공정 중인 처리 챔버(102)의 내측으로 선택적으로 제공할 수 있도록 채택된다. 예를 들어, 일 실시예에서 가스 패널(120)은 불소 함유 및/또는 염소 함유 공정 가스(또는 가스들)을 포토마스크를 에칭하는 방법과 관련하여 후술되는 바와 같이, 처리 챔버(102)의 내측으로 선택적으로 제공하도록 채택될 수 있다. 처리 공정 중에, 플라즈마는 공정 가스로부터 형성되어 플라즈마 동력원(112)으로부터의 동력을 유도 결합함으로써 유지된다. 플라즈마는 이와는 달리, 다른 방법에 의해 점화되거나 원격적으로 형성될 수 있다.
챔버(102) 내의 압력은 드로틀 밸브(162)와 진공 펌프(164)를 사용하여 제어된다. 진공 펌프(164)와 드로틀 밸브(162)는 약 1 내지 약 20 mTorr 범위로 챔버 압력을 유지할 수 있다.
상기 벽(104)의 온도는 벽(104)을 통해 연장하는 액체 함유 도관(도시 않음)을 사용하여 제어될 수 있다. 벽 온도는 일반적으로 약 65 ℃에서 유지된다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄,스테인레스 스틸 등)으로 제조되며 전기 접지(106)에 연결된다. 처리 챔버(102)도 공정 제어, 내부 진단, 엔드 포인트 검출 등을 위한 종래의 시스템을 포함한다. 그와 같은 시스템은 지지 시스템(154)으로서 총괄적으로 도시되어 있다.
레티클 어댑터(182)는 (레티클 또는 다른 피가공물과 같은)기판(122)을 기판 지지 받침대(124)에 고정하는데 사용된다. 레티클 어댑터(182)는 일반적으로 받침대(124)의 상부면(예를 들어, 정전기 척(160))을 덮도록 압연 가공된 하부(184) 및 기판(122)을 유지할 수 있는 크기와 형상을 갖는 개구(188)를 구비한 상부(186)를 포함한다. 개구(182)는 일반적으로, 받침대(124)에 대해 실질적으로 중심에 위치된다. 어댑터(182)는 일반적으로, 폴리이미드 세라믹 또는 석영과 같이 에칭에 저항하고, 고온 저항성을 갖는 단일 조각의 재료로 제조된다. 적합한 레티클 어댑터는 본 발명에 참조되고 2001년 6월 26일자로 허여된 미국 특허 제 6,251,217호에 설명되어 있다. 단부 링(126)은 어댑터(182)를 받침대(124)에 고정 및/또는 커버 할 수 있다.
리프트 기구(138)는 어댑터(182) 및 그에 따른 기판(122)을 기판 지지 받침대(124)에 상승 또는 하강시키는데 사용된다. 일반적으로, 리프트 기구(138)는 각각의 가이드 구멍(136)을 통해 이동하는 복수의 리프트 핀(하나의 리프트 핀(130)이 도시됨)을 포함한다.
작동시, 기판(122)의 온도는 기판 받침대(124)의 온도를 안정화함으로써 제어된다. 일 실시예에서, 기판 지지 받침대(124)는 히터(144)와 선택적인 히트 싱크(128)를 포함한다. 히터(144)는 하나 이상의 유체 도관을 포함하며 이들 통해 열 전달 유체를 흐르게 하도록 구성된다. 다른 실시예에서, 히터(144)는 히터 동력 공급원(168)에 의해 조정되는 적어도 하나의 가열 소자(134)를 포함할 수 있다. 선택적으로, 가스 공급원(156)으로부터의 후면 가스(예를 들어, 헬륨(He))는 가스 도관(158)을 거쳐서 기판(122) 하부의 받침대 표면 내에 형성되는 채널로 공급된다. 배면 가스는 받침대(124)와 기판(122) 사이의 열 전달을 촉진시키는데 사용된다. 처리 공정 중에, 받침대(124)는 헬륨 후면 가스와 조합하여 기판(122)의 균일한 가열을 촉진시키는 매설된 히터(144)에 의해 일정한 상태의 온도로 가열될 수 있다.
제어기(146)는 중앙 처리 유닛(CPU), 메모리(148), 및 CPU(150)용 지원 회로를 포함하며, 이후에 더 상세히 설명하는 바와 같이 에칭 공정의 처리 챔버(102)의 성분들에 대한 제어를 용이하게 한다. 제어기(146)는 다수의 챔버와 보조 컴퓨터를 제어하기 위한 산업용 세팅에 사용될 수 있는 일반적인 형태의 범용 컴퓨터 프 로세서 중의 하나 일 수 있다. CPU(150)의 메모리(148)는 램덤 어세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크와 같은 용이하게 이용가능한 메모리, 또는 다른 형태의 디지털 저장기, 로컬 또는 리모트 저장기 중의 하나 이상일 수 있다. 지원 회로(152)는 종래의 방법으로 프로세서를 지원하기 위해 CPU(150)에 연결된다. 이들 회로는 캐쉬, 동력 공급원, 클록 회로, 인풋/아웃풋 회로 및 보조 시스템 등을 포함한다. 본 발명의 방법은 일반적으로, 소프트웨어 루틴으로서 CPU(150)에 어세스 가능한 메모리(148) 또는 다른 형태의 컴퓨터 판독 가능한 매체에 저장된다. 이와는 달리, 그와 같은 소프트웨어 루틴은 CPU(150)에 의해 제어될 하드웨어로부터 이격 위치되는 제 2 CPU(도시 않음)에 의해 저장 및/또는 실행될 수 있다.
도 2는 석영을 에칭하기 위한 방법(200)의 일 실시예를 설명하는 흐름도이다. 방법(200)이 (도 1에서 설명한 바와 같이)포토마스크를 제작하는데 사용되는 기판을 참조하여 이후에 설명되지만, 상기 방법(200)은 다른 석영 에칭 분야에 사용될 수 있다.
제어기(146) 또는 다른 저장 매체의 메모리(148)에 컴퓨터 판독가능한 형태로 저장될 수 있는 방법(200)은 단계(201)에서 시작되어 단계(202)로 진행한다. 단계(202)에서, 기판(122)은 처리 챔버 내의 기판 받침대(124) 상에 놓인다. 처리 챔버는 전술한 바와 같이, DPS Ⅱ, 또는 TEERAⅠ, TETRA Ⅱ, 또는 다른 적합한 챔버일 수 있다. 일 실시예에서, 기판(122)은 어댑터(182)의 개구(188) 내에 놓인다. 도 1에 도시된 기판(122)은 석영 층(192)의 표면 상에 패턴화된 마스크를 형성하는, 포토마스크 재료(190)로서 공지된 불투명한 차광 금속 층을 갖는 석영과 같은 투광성 실리콘 계열의 재료(예를 들어, 실리콘 산화물, SiO2 층(192)을 포함한다. 분리형 마스크도 사용될 수 있으며, 또는 다른 분야에서 석영 층(192) 상에 배열된 마스크가 다른 재료로 구성될 수 있으며 하나 이상의 개재 층에 의해 기판과 분리되거나 포함될 수 있다. 포토마스크 층(190)으로 사용하기 적합한 통상적인 금속은 크롬 및/또는 크롬 산질화물이 포함된다. 기판(122)은 또한 석영 층(192)과 포토마스크 층(190) 사이에 개재되는 몰리브덴으로 도프되는 실리콘 질화물(SiN) 층(도시 않음)을 포함할 수 있다.
이후에, 단계(204)에서 기판(122)의 석영 층(192)은 다단계 에칭 공정을 사용하여 에칭된다. 다단계 에칭 공정은 하나 이상의 플루오로카본 가스 및 염소 함유 가스를 포함하는 제 1 공정 가스를 사용하여 기판을 에칭하는 제 1 에칭 단계(206), 및 하나 이상의 불소 함유 가스를 포함하는 공정 가스를 사용하여 기판을 에칭하는 제 2 에칭 단계(208)를 포함한다. 단계(206,208)는 어떤 순서로도 수행될 수 있다. 게다가, 하나 이상의 단계(206,208)는 소정의 엔드 포인트에 도달할 때까지 다단계 에칭 공정을 계속하는 것이 바람직하다면 반복될 수도 있다.
단계(206)에서, 하나 이상의 플루오로카본 가스 및 염소 함유 가스를 포함하는 제 1 공정 가스는 가스 입구(116)를 통해 처리 챔버(102)의 내측으로 유입된다. 제 1 공정 가스는 헬륨(He), 아르곤(Ar), 제논(Xe), 네온(Ne), 크립톤(Kr) 등과 같은 불활성 가스를 더 포함할 수 있다.
예시적인 염소 함유 가스는 염소(Cl2), 염화 수소(HCl), 등일 수 있다. 일 실시예에서, 염소 함유 가스는 염소(Cl2)를 포함한다. 일 실시예에서, Cl2는 약 1 내지 10 sccm의 비율로 제공된다. 일 실시예에서, 약 5 sccm의 비율을 갖는 Cl2가 사용되었다. 처리 챔버 내의 압력은 약 40 mTorr 미만으로 제어되며, 일 실시예에서는 약 1 내지 약 10 mTorr 범위, 약 2 mTorr 이다.
예시적인 플루오로카본 가스는 CF4, CHF3, C2F, C4F6, C4F8 등이 포함된다. 일 실시예에서, CF4는 약 2 내지 50 sccm 범위의 비율로 제공되며, CHF3는 약 2 내지 약 50 sccm의 비율로 제공된다. 일 실시예에서, CF4는 약 9 sccm이고 CHF3는 약 26 sccm이 사용되었다. 처리 챔버 내의 압력은 약 40 mTorr 미만으로 제어되며, 일 실시예에서, 약 1 내지 약 10 mTorr 범위, 예를 들어 2 mTorr 미만으로 제어되었다.
선택적으로, 스텝(206)에서 기판 바어스 동력은 기판(122)을 바이어스시키기 위해 기판 받침대(124)에 인가된다. 바이어스 동력은 약 150 와트 미만이거나, 일 실시예에서 약 100 와트 미만이며, 제 2 실시예에서 약 20 내지 약 150 와트 범위일 수 있다. 일 실시예에서, 약 65 와트의 바이어스 동력이 그러한 공정을 위해 사용된다. 바이어스 동력은 약 1 내지 10 kHz 범위, 일 실시예에서 약 2 내지 5 kHz 범위의 펄스 주파수에서 펄스되거나 연속적인 웨이브 출력에서 제공되는 13.56 MHz RF 신호일 수 있다.
바이어스 동력은 약 10 내지 95% 범위, 또는 일 실시예에서 약 20 내지 95% 범위의 듀티 사이클로 펄스될 수 있다. 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 95%의 듀티 사이클로, 약 1 내지 약 10 kHz 범위의 펄스 주파수에서 약 150 와트 미만의 RF 동력을 제공하도록 구성된다. 다른 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 95%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다.
석영 층이 그 위에 패턴화된 포토레지스트 층을 포함하는 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 90%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다. 석영 층이 그 위에 패턴화된 포토레지스트 층을 포함하지 않는 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 50%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다.
플라즈마는 플라즈마 동력 공급원(112)으로부터 안테나(110)로의 약 300 내지 약 600 와트 범위의 RF 동력을 인가함으로써 제 1 공정 가스로부터 형성된다. 상기 플라즈마는 다른 방법에 의해 점화될 수도 있다고 이해해야한다. 일 실시예에서, 약 420 와트의 RF 동력이 약 13.56 MHz의 주파수로 안테나(110)에 인가된다. 단계(206) 중에, 기판(122) 상의 노출된 석영 층(192)은 단계(206)를 위한 소정의 엔드 포인트에 도달할 때까지 제 1 시간 주기 동안에 에칭된다. 상기 엔드 포인트는 시간, 광 간섭계 또는 다른 적합한 방법에 의해 결정될 수 있다.
이후에, 단계(208)에서 하나 이상의 플루오로카본 가스를 함유하는 제 2 공정 가스가 가스 입구(116)를 통해 처리 챔버(102)의 내측으로 유입된다. 제 2 공정 가스는 헬륨(He), 아르곤(Ar), 제논(Xe), 네온(Ne), 크립톤(Kr) 등과 같은 불활성 가스를 더 포함할 수 있다.
예시적인 플루오로카본 가스는 CF4, CHF3, C2F, C4F6, C4F8 등이 포함된다. 일 실시예에서, CF4는 약 2 내지 50 sccm 범위의 비율로 제공되며, CHF3는 약 2 내지 약 50 sccm의 비율로 제공된다. 일 실시예에서, CF4는 약 9 sccm이고 CHF3는 약 26 sccm이 사용되었다. 처리 챔버 내의 압력은 약 40 mTorr 미만으로 제어되며, 일 실시예에서, 약 1 내지 약 10 mTorr 범위, 예를 들어 2 mTorr 미만으로 제어되었다.
선택적으로, 스텝(208)에서 기판 바어스 동력은 기판(122)을 바이어스시키기 위해 기판 받침대(124)에 인가된다. 바이어스 동력은 약 150 와트 미만이거나, 일 실시예에서 약 100 와트 미만이며, 제 2 실시예에서 약 20 내지 약 150 와트 범위일 수 있다. 일 실시예에서, 약 65 와트의 바이어스 동력이 그러한 공정을 위해 사용된다. 바이어스 동력은 약 1 내지 10 kHz 범위, 일 실시예에서 약 2 내지 5 kHz 범위의 펄스 주파수에서 펄스되거나 연속적인 웨이브 출력에서 제공되는 RF 신호일 수 있다.
바이어스 동력은 약 10 내지 95% 범위, 또는 일 실시예에서 약 20 내지 95% 범위의 듀티 사이클로 펄스될 수 있다. 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 95%의 듀티 사이클로, 약 1 내지 약 10 kHz 범위의 펄스 주파수에서 약 150 와트 미만의 RF 동력을 제공하도록 구성된다. 다른 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 95%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다.
석영 층이 그 위에 패턴화된 포토레지스트 층을 포함하는 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 90%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다. 석영 층이 그 위에 패턴화된 포토레지스트 층을 포함하지 않는 일 실시예에서, 바이어스 공급원(140)은 약 10 내지 약 50%의 듀티 사이클로, 약 2 내지 약 5 kHz 범위의 펄스 주파수에서 약 20 내지 약 150 와트 범위의 RF 동력을 제공하도록 구성된다.
플라즈마는 플라즈마 동력 공급원(112)으로부터 안테나(110)로의 약 300 내지 약 600 와트 범위의 RF 동력을 인가함으로써 제 2 공정 가스로부터 형성된다. 단계(206)에서 설명한 바와 같이, 상기 플라즈마는 다른 방법에 의해 점화될 수도 있다고 이해해야한다. 일 실시예에서, 약 420 와트의 RF 동력이 약 13.56 MHz의 주파수로 안테나(110)에 인가된다. 단계(208) 중에, 기판(122) 상의 노출된 석영 층(192)은 단계(206)를 위한 소정의 엔드 포인트에 도달할 때까지 제 2 시간 주기 동안에 에칭된다.
단계(210)에서, 다단계 에칭 공정을 위한 소정의 엔드 포인트에 도달하였는지의 여부에 대해 질문된다. 전술한 바와 같이, 상기 엔드 포인트는 시간, 광 간 섭계 또는 다른 적합한 방법에 의해 결정될 수 있다. 선택적으로, 기판은 익스 시츄(ex-situ) 에칭 깊이 측정을 용이하게 하도록 챔버로부터 제거되거고 필요하다면, 추가의 처리를 위해 챔버로 복귀될 수 있다. 단계(210)에서의 상기 질문에 대한 답이 "예"이면, 상기 공정은 단계(211)에서 정지하며 기판은 필요에 따라 어떤 추가의 처리가 계속될 수 있다. 단계(204)의 추가의 반복을 위해서, 다단계 공정은 소정의 다단계 에칭 엔드 포인트에 도달시 단계(206,208) 중의 단지 한 단계만을 반복한 후에 종료될 수 있다고 이해해야 한다. 또한, 단계(204)의 반복 중에 반복된 단계(206 및/또는 208)의 지속은 이전의 반복과 다를 수 있다고(예를 들어, 어떤 반복 중에 어떤 단계(206,208)의 지속은 어떤 이전의 반복으로부터의 어떤 단계(206,208)의 어떤 다른 지속보다 더 길거나 짧거나 동일할 수 있다고) 이해해야 한다.
어떤 실시예에서 사용된 바와 같은 다단계 에칭 공정은 에칭된 피쳐들에 대한 추가의 균일성 제어를 제공한다. 일 실시예에서, 단계(206)에서 사용된 위의 에칭 공정은 중심부가 빠른 에칭을 제공하는(즉, 기판의 중심부가 기판의 주변부 보다 더 빠른 비율로 에칭되는) 반면에, 단계(208)에서 사용된 에칭 공정은 중심부가 느린 에칭을 제공한다(즉, 기판의 중심부가 기판의 주변부 보다 더 느린 비율로 에칭된다). 따라서, 상기 방법(200)은 종래의 방법에 비해서 보다 큰 균일성과 위상 각도 범위를 갖는 다단계 에칭 공정에 상기 두 단계를 유리하게 조합할 수 있게 한다. 상기 방법(200)은 종래의 에칭 방법에 비해서 감소된 RIE 래그, 마이크로에칭, 및 더욱 큰 수직 측면 각도 제어를 위해 더욱 유리하게 제공된다. 따라서, 상 기 방법(200)은 작은 임계 치수를 갖는 분야에 더욱 바람직하다. 전술한 바와 같이, 본 발명의 방법은 단계(206,208) 중의 어느 한 단계에서 시작되어 소정의 엔드 포인트에 도달할 때까지 필요에 따라 추가의 제어를 제공하기 위해서 임의의 시퀀스에 따라 반복될 수 있다.
도 3a 내지 도 3g는 전술한 바와 같이 상기 방법(200)을 사용하여 석영 상 변위 마스크(318)로 제조되는 필름 스택(300i)의 일 실시예를 도시한다. 여기서, 기호 "i"는 도 3a 내지 도 3g에 도시된 필름 스택의 상이한 제조 단계를 나타내는 정수이다.
도 1a에 도시된 필름 스택(3001)은 그 위에 배열되는 포토마스크 층(304)을 갖는 석영 층(302)을 포함한다. 포토마스크 층(304)은 통상적으로, 전술한 바와 같이 크롬 또는 다른 적합한 재료이다. 광 반사 방지 층(306;도시 않음)이 포토마스크 층(304) 위에 배열될 수 있다. 제 1 레지스트 층(308)이 포토마스크 층(304) 또는 존재한다면, 광 반사 방지 층(306) 위에 배열된다.
제 1 레지스트 층(308)은 패턴화되며 도 3b에서 설명한 바와 같이 하부 석영 층(302)을 노출시키는 피쳐(310)를 형성하기 위한 포토마스크 층(304)을 에칭하는 에칭 마스크로서 사용된다. 포토마스크 층(304)은 산소와 혼합된 (Cl2와 같은)염소 함유 가스로부터 형성되는 플라즈마를 사용하여 에칭될 수 있다. 하나의 예시적인 에칭 공정은 본 발명에 전체적으로 참조된 2002년 9월 4일자로 출원된 미국 특허 출원 번호 10/235,223호에 설명되어 있다. 다른 적합한 금속 에칭 공정도 사용될 수 있다. 피쳐(310)가 포토마스크 층(304) 내에 형성된 후에, 나머지 제 1 레지스트 층(308)이 도 3c에 도시한 바와 같이 필름 스택(3003)을 남겨 두도록, 예를 들어 애슁(ashing)에 의해 제거된다.
도 3d에 도시한 바와 같이, 제 2 레지스트 층(312)이 피쳐(310)를 충진하도록 상기 필름 스택(3004) 상에 배열된다. 그 후 제 2 레지스트 층(312)이 패턴화된다. 통상적으로 석영 상 변위 마스크를 형성할 때, 패턴화된 레지스트 층(312)은 도 3e에 도시한 바와 같이 교대하는 피쳐(310)의 바닥에서 석영 층(302)을 노출시킨다.
패턴화된 제 2 레지스트 층(312)을 통해 노출된 석영 층(302)은 전술한 방법(200)을 사용하여 에칭된다(예를 들어, 다단계 에칭 공정이 전술한 바와 같이 사용되며, 일 실시예에서 에칭된 피쳐에 대해 제어된 균일성이 달성되었다). 석영 에칭의 엔드 포인트는 도 3f에 도시된 에칭된 석영 트렌치(316)의 깊이(314)가 석영 상 변위 마스크(318)로 사용하기 위한 예정된 광 파장에 대해 석영 층(302)을 통해 180도 상 변위된 길이와 대략 같도록 선택된다. 통상적인 파장은 193 및 248 nm이다. 따라서, 깊이(314)는 통상적으로 약 172 또는 240 nm이나, 다른 리소그래피 광 파장 및/또는 제작 공정에 사용하기 위한 마스크에 대해 다른 깊이도 사용될 수 있다. 석영 트렌치(316)가 에칭된 후에, 나머지 레지스트 층(312)은 나머지 필름 스택(3007)이 도 3g에 도시한 바와 같이 석영 상 변위 마스크(318)를 형성하도록 예를 들어, 애슁에 의해 제거된다.
도 4a 내지 도 4e는 전술한 방법(300)을 사용하여 무 크롬 에칭 리소그래피 마스크(418)로 제조되는 필름 스택(400i)의 일 실시예를 도시하는 도면이다. 기호 "i"는 도 4a 내지 도 4e에 도시된 필름 스택의 상이한 제조 단계를 나타내는 정수이다.
도 4a에 도시된 필름 스택(4001)은 그 위에 배열되는 마스크 층(404)을 갖는 석영 층(402)을 포함한다. 마스크 층(404)은 일반적으로, 불소 함유 플라즈마 화학물을 사용하여 석영을 선택적으로 에칭하는데 적합하며, 일 실시예에서 크롬 또는 다른 포토마스크 재료이다. 선택적인 반사 방지 층(406: 도시 않음)이 마스크 층(404) 위에 배열될 수 있다. 레지스트 층(408)은 마스크 층(404) 또는 있다면, 반사 방지 층(406) 위에 배열된다.
레지스트 층(408)이 패턴화되며 도 4b에 도시한 바와 같이 하부 석영 층(402)을 노출시키는 피쳐(410)를 형성하도록 마스크 층(404)을 에칭시키는 에칭 마스크로서 사용된다. 마스크 층(404)은 전술한 바와 같이 염소 함유 가스로부터 형성되는 플라즈마를 사용하여 에칭될 수 있다. 피쳐(410)가 마스크 층(404) 내에 형성된 후에, 나머지 레지스트 층(408)은 도 4c에 도시한 바와 같이 필름 스택(4003)을 남겨두도록 예를 들어, 애슁에 의해 제거된다. 선택적으로, 레지스트 층(408)은 마스크 층(404) 상에 유지되며 다음 공정 중에 침식(erosion) 및/또는 스트립핑(stripping)을 통해 제거된다.
도 4d에 도시한 바와 같이, 피쳐(410)의 바닥에서 마스크 층(404)을 통해 노 출된 석영 층(402)은 전술한 방법(200)을 사용하여 에칭된다(예를 들어, 다단계 에칭 공정이 전술한 바와 같이 사용되며, 일 실시예에서 에칭된 피쳐에 대해 제어된 균일성이 달성되었다). 석영 에칭의 엔드 포인트는 도 4d에 도시된 에칭된 석영 트렌치(416)의 깊이(414)가 무 크롬 에칭 리소그래피 마스크(418)로 사용하기 위한 예정된 광 파장에 대해 석영 층(402)을 통해 180도 상 변위된 길이와 대략 같도록 선택되며, 예를 들어 상기 깊이(414)는 위에서 마스크(318)를 참조하여 설명한 대로 선택된다.
석영 트렌치(416)가 에칭된 후에, 마스크 층(404)의 나머지 부분들은 선택적으로 제거될 수 있다. 예를 들어, 마스크 층(404)의 나머지 부분들은 예를 들어, 마스크 층(404)을 패턴화하는데 사용된 화학물을 사용하여 선택적으로 에칭함으로써 제거될 수 있다. 필름 스택(4005)으로부터 남아 있는 석영 층(402)은 도 4e에 도시된 무 크롬 에칭 리소그래피 마스크(418)를 형성한다.
이와 같이, 종래의 공정에 비해 트렌치 특성을 유용하게 개선하는 석영을 에칭하기 위한 방법이 제공된다. 특히, 본 발명에 설명된 방법은 종래의 에칭 방법에 비해서 감소된 RIE 래그, 감소된 마이크로트렌치, 및 더욱 수직의 측면 각도 제어를 제공할 뿐만 아니라, 종래 기술에 비해 더욱 향상된 균일성과 상 각도(phase angle) 범위를 제공한다. 따라서, 본 발명에서 설명한 석영 에칭 방법은 5 ㎛ 이하(sub-5 ㎛)의 임계 치수를 갖는 피쳐를 패턴화하는데 적합한 상 변위 포토마스크의 제작을 용이하게 할 수 있게 한다.
본 발명의 실시예에 대해 전술하였지만, 본 발명의 기본 범주로부터 이탈함이 없이 본 발명의 다른 추가의 실시예들이 창안될 수 있으며, 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.
도 1은 석영을 에칭하는데 적합한 에칭 반응로의 개략적인 도면.
도 2는 석영을 에칭하는 방법의 일 실시예를 설명하는 흐름도.
도 3a 내지 도 3g는 본 발명의 석영 에칭 방법의 일 실시예를 사용하여 제조된 석영 위상 변위 마스크의 일 실시예를 도시하는 도면.
도 4a 내지 도 4e는 본 발명의 석영 에칭 방법의 일 실시예를 사용하여 제조된 석영 위상 변위 마스크의 일 실시예를 도시하는 도면.

Claims (15)

  1. 석영 에칭 방법으로서,
    패턴 층을 통해 부분 노출된 석영 층을 갖는 필름 스택을 처리 챔버 내에 배열된 기판 지지대 상에 제공하는 단계; 및
    하나 이상의 플루오로카본 공정 가스와 염소 함유 공정 가스를 포함하는 제 1 공정 가스를 사용하여 상기 패턴 층을 통해 상기 석영 층의 노출 부분을 에칭하는 제 1 단계와, 상기 제 1 공정 가스와는 상이한 하나 이상의 플루오로카본 공정 가스를 포함하는 제 2 공정 가스를 사용하여 상기 패턴 층을 통해 상기 석영 층의 노출 부분을 에칭하는 제 2 단계를 포함하는 다단계 공정으로 상기 필름 스택의 석영 층을 에칭하는 단계를 포함하는,
    석영 에칭 방법.
  2. 제 1 항에 있어서,
    상기 필름 스택은 포토마스크 스택이며, 상기 패턴화된 층은 마스크 층이며,
    예정된 에칭 깊이에서 각각의 석영 층 에칭 단계를 종료시키는 단계, 및
    상기 마스크 층을 제거하는 단계를 포함하는,
    석영 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 플루오로카본 공정 가스는 CF4, CHF3, C2F, C4F6, 또는 C4F8 중의 하나 이상을 포함하며, 상기 염소 함유 공정 가스는 Cl2 또는 HCl 중의 하나 이상을 포함하는,
    석영 에칭 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 에칭 단계는,
    상기 처리 챔버의 내측으로 CF4 와 CHF3를 유동시키는 단계를 포함하는,
    석영 에칭 방법.
  5. 제 2 항에 있어서,
    상기 패턴화된 마스크 층은
    크롬을 포함하는 상기 마스크 층 상에 레지스트 층을 패턴화하는 단계와,
    관통하는 개구를 형성하도록 상기 크롬 마스크 층을 에칭하는 단계, 및
    상기 크롬 마스크 층으로부터 상기 레지스트 층을 제거하는 단계를 포함하는 공정에 의해 형성되는,
    석영 에칭 방법.
  6. 제 2 항에 있어서,
    상기 패턴화된 마스크 층은,
    불투명한 광 차폐 금속 층 상에 제 1 레지스트 층을 패턴화하는 단계와,
    적어도, 관통하는 제 1 개구 및 제 2 개구를 형성하도록 상기 광 차폐 금속 층을 에칭하는 단계, 및
    상기 마스크 층을 형성하도록 불투명한 광 차폐 금속 층 상에 제 2 레지스트 층을 패턴화하는 단계를 포함하는 공정에 의해 형성되며,
    상기 광 차폐 금속 층 내의 제 1 개구는 패턴화 이후에 상기 제 2 레지스트 층으로 충진되는,
    석영 에칭 방법.
  7. 제 1 항에 있어서,
    상기 필름 스택은 상기 석영 층 위에 배열되는 크롬 층을 포함하며,
    상기 크롬 층 상의 제 1 레지스트 층을 패턴화하는 단계와,
    적어도, 관통하는 제 1 개구 및 제 2 개구를 형성하도록 상기 크롬 층을 에칭하는 단계와,
    상기 제 1 레지스트 층을 제거하는 단계와,
    상기 크롬 층 상의 제 2 레지스트 층을 패턴화하는 단계, 및
    상기 다단계 에칭 공정을 사용하여 193 내지 248 nm 범위의 포토리소그래피 광 파장의 1/2 깊이로 상기 크롬 층을 통해 노출되는 상기 석영 층의 부분들을 에칭하는 단계를 더 포함하며,
    상기 광 차폐 금속 층 내의 제 1 개구는 패턴화 이후에 상기 제 2 레지스트 층으로 충진되는,
    석영 에칭 방법.
  8. 제 1 항, 제 2 항, 또는 제 7 항 중의 어느 한 항에 있어서,
    상기 제 1 에칭 단계는,
    상기 처리 챔버의 내측으로 Cl2를 유동시키는 단계를 더 포함하는,
    석영 에칭 방법.
  9. 제 1 항, 제 2 항, 또는 제 7 항 중의 어느 한 항에 있어서,
    상기 다단계 공정 중의 적어도 하나의 단계를 반복하는 단계를 더 포함하는,
    석영 에칭 방법.
  10. 제 1 항, 제 2 항, 또는 제 7 항 중의 어느 한 항에 있어서,
    상기 다단계 공정 중의 하나 이상의 단계에서 150 와트 미만의 복수의 동력 펄스에 의해 상기 석영 층을 바이어스시키는 단계를 더 포함하는,
    석영 에칭 방법.
  11. 제 10 항에 있어서,
    상기 패턴화된 층은 포토레지스트가 아니며, 상기 바이어스 단계는,
    10 내지 50 %의 듀티 사이클로 1 내지 10 kHz 범위의 펄스 주파수에서 동력을 펄스화시키는 단계를 포함하는,
    석영 에칭 방법.
  12. 제 10 항에 있어서,
    상기 패턴화된 층은 포토레지스트를 포함하며, 상기 바이어스 단계는,
    10 내지 90 %의 듀티 사이클로 1 내지 10 kHz 범위의 펄스 주파수에서 동력을 펄스화시키는 단계를 포함하는,
    석영 에칭 방법.
  13. 제 1 항 또는 제 7 항에 있어서,
    상기 제 1 에칭 단계 또는 제 2 에칭 단계 중의 하나 이상의 단계는,
    상기 처리 챔버의 내측으로 CF4 와 CHF3를 유동시키는 단계를 포함하는,
    석영 에칭 방법.
  14. 제 7 항에 있어서,
    상기 제 1 에칭 단계 또는 제 2 에칭 단계 중의 하나 이상의 단계는,
    10 내지 90 %의 듀티 사이클에서 150 와트 미만의 바이어스 동력을 인가하는 단계와,
    600 와트 미만의 RF 동력을 상기 처리 챔버 근처에 배열되는 안테나에 유도 결합시키는 단계와,
    15 sccm 미만의 CF4를 상기 처리 챔버의 내측으로 유동시키는 단계, 및
    30 sccm 미만의 CHF3를 상기 처리 챔버의 내측으로 유동시키는 단계를 더 포함하는,
    석영 에칭 방법.
  15. 제 7 항에 있어서,
    상기 석영 층의 제 1 에칭 단계는,
    10 내지 90 %의 듀티 사이클에서 150 와트 미만의 바이어스 동력을 인가하는 단계와,
    600 와트 미만의 RF 동력을 상기 처리 챔버 근처에 배열되는 안테나에 유도 결합시키는 단계, 및
    10 sccm 미만의 Cl2를 상기 처리 챔버의 내측으로 유동시키는 단계를 더 포함하는,
    석영 에칭 방법.
KR1020070130986A 2006-12-18 2007-12-14 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법 KR100988112B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/612,036 2006-12-18
US11/612,036 US7786019B2 (en) 2006-12-18 2006-12-18 Multi-step photomask etching with chlorine for uniformity control

Publications (2)

Publication Number Publication Date
KR20080056652A KR20080056652A (ko) 2008-06-23
KR100988112B1 true KR100988112B1 (ko) 2010-10-18

Family

ID=39247304

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070130986A KR100988112B1 (ko) 2006-12-18 2007-12-14 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법

Country Status (5)

Country Link
US (1) US7786019B2 (ko)
EP (1) EP1947508A1 (ko)
JP (1) JP2010514224A (ko)
KR (1) KR100988112B1 (ko)
WO (1) WO2008077012A2 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956809B2 (en) * 2012-08-03 2015-02-17 Applied Materials, Inc. Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US9653316B2 (en) * 2013-02-18 2017-05-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6267989B2 (ja) * 2013-02-18 2018-01-24 東京エレクトロン株式会社 プラズマ処理方法及び容量結合型プラズマ処理装置
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP7333752B2 (ja) 2019-12-25 2023-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7434669B2 (ja) * 2021-06-21 2024-02-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060081379A (ko) * 2005-01-08 2006-07-12 어플라이드 머티어리얼스, 인코포레이티드 석영 포토마스크 플라즈마 식각을 위한 방법

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357195A (en) * 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6062125A (ja) 1983-09-16 1985-04-10 Toshiba Corp プラズマエツチング方法
JPS611023A (ja) 1984-06-13 1986-01-07 Teru Saamuko Kk バツチプラズマ装置
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPS61263125A (ja) 1985-05-15 1986-11-21 Tokuda Seisakusho Ltd ドライエツチング装置
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) * 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JPH0691035B2 (ja) 1986-11-04 1994-11-14 株式会社日立製作所 低温ドライエツチング方法及びその装置
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2860653B2 (ja) 1988-06-13 1999-02-24 東京エレクトロン株式会社 プラズマ処理方法
JPH02156529A (ja) 1988-10-11 1990-06-15 Tegal Corp 半導体ウェーハの酸化物層傾斜エッチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02312229A (ja) 1989-05-26 1990-12-27 Fuji Electric Co Ltd プラズマエッチング方法
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JPH03129820A (ja) 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) * 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) * 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5290383A (en) * 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JPH05144779A (ja) * 1991-11-21 1993-06-11 Matsushita Electric Ind Co Ltd シリコン酸化膜のドライエツチング方法
JP2988122B2 (ja) * 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
JPH06342769A (ja) 1992-08-21 1994-12-13 Nissin Electric Co Ltd エッチング方法及び装置
US5352324A (en) * 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) * 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) * 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
JPH06181185A (ja) 1992-12-14 1994-06-28 Toshiba Corp プラズマ表面処理装置
JP3453435B2 (ja) * 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
JPH07142453A (ja) 1993-11-16 1995-06-02 Kokusai Electric Co Ltd プラズマエッチング装置
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) * 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) * 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5614060A (en) 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) * 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) * 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) * 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
US6605543B1 (en) * 1999-12-30 2003-08-12 Koninklijke Philips Electronics N.V. Process to control etch profiles in dual-implanted silicon films
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
EP1290495A2 (en) 2000-06-15 2003-03-12 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6875807B2 (en) * 2003-05-28 2005-04-05 Indspec Chemical Corporation Silane-modified phenolic resins and applications thereof
US6969568B2 (en) * 2004-01-28 2005-11-29 Freescale Semiconductor, Inc. Method for etching a quartz layer in a photoresistless semiconductor mask
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060081379A (ko) * 2005-01-08 2006-07-12 어플라이드 머티어리얼스, 인코포레이티드 석영 포토마스크 플라즈마 식각을 위한 방법

Also Published As

Publication number Publication date
JP2010514224A (ja) 2010-04-30
KR20080056652A (ko) 2008-06-23
WO2008077012A3 (en) 2008-08-07
WO2008077012A2 (en) 2008-06-26
EP1947508A1 (en) 2008-07-23
US20080142476A1 (en) 2008-06-19
US7786019B2 (en) 2010-08-31

Similar Documents

Publication Publication Date Title
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7879510B2 (en) Method for quartz photomask plasma etching
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
KR100828781B1 (ko) 포토마스크 제조용으로 적합한 카본 하드마스크를 통한크롬 층의 플라즈마 에칭 방법
JP2006215552A5 (ko)
KR100988112B1 (ko) 균일성 제어를 위해 염소로 다단계 포토마스크를 에칭하는방법
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee