CN101675505B - 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制 - Google Patents

硬掩模开口以及利用硬掩模开口的蚀刻形貌控制 Download PDF

Info

Publication number
CN101675505B
CN101675505B CN2008800146896A CN200880014689A CN101675505B CN 101675505 B CN101675505 B CN 101675505B CN 2008800146896 A CN2008800146896 A CN 2008800146896A CN 200880014689 A CN200880014689 A CN 200880014689A CN 101675505 B CN101675505 B CN 101675505B
Authority
CN
China
Prior art keywords
hard mask
gas
layer
etch
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800146896A
Other languages
English (en)
Other versions
CN101675505A (zh
Inventor
李钟必
川口小泽
卡梅利娅·鲁苏
黄志松
穆坤德·斯里尼瓦桑
埃里克·赫德森
亚伦·埃普勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101675505A publication Critical patent/CN101675505A/zh
Application granted granted Critical
Publication of CN101675505B publication Critical patent/CN101675505B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种开口形成在基片上方的蚀刻层上的碳基硬掩模层的方法。该硬掩模层设在图案化的掩模下方。该基片设在等离子处理室中。该硬掩模层通过将包含COS组分硬掩模开口气体通入该等离子室、由该硬掩模开口气体形成等离子和停止该硬掩模开口气体的通入而开口。该硬掩模层可由无定形碳组成,或由旋涂碳组成,该硬掩模开口气体可进一步包括O2

Description

硬掩模开口以及利用硬掩模开口的蚀刻形貌控制
技术领域
本发明涉及在半导体器件制造过程中利用掩模蚀刻蚀刻层。更具体地,本发明涉及在半导体器件制造过程中通过硬掩模蚀刻高纵横比特征。 
背景技术
在半导体晶片处理期间,通过图案化的掩模限定该半导体器件的特征。 
为了提高密度,则要降低特征尺寸。这可通过减小特征的关键尺寸(CD)来实现,这需要提高的分辨率。 
在蚀刻层中形成高纵横比特征时,硬掩模层可利用硬掩模层上方的掩模形成在蚀刻层上方。另外,多层抗蚀剂广泛用于高性能ULSI器件的制造过程中。多层抗蚀剂通常包括图案化抗蚀剂层、旋涂玻璃(SOG)中间层和底部抗蚀剂层。该图案化抗蚀剂层可以是光刻胶。该底部抗蚀剂层可以是喷溅碳薄膜,或旋涂碳薄膜。 
发明内容
为了实现前面所述的以及按照本发明的目的,提供一种蚀刻在基片上方并设在掩模下方的硬掩模层下方的蚀刻层的方法。该基片设在等离子处理室中。该硬掩模层通过将具有COS或CS2组分的硬掩模开口(opening)气体通入该等离子室、由该硬掩模开口 气体形成等离子和停止该硬掩模开口气体的通入而开口。通过该硬掩模将该特征蚀刻进该蚀刻层。去除该硬掩模。 
在本发明另一表现形式中,提供一种蚀刻在基片上方并设在掩模下方的硬掩模层下方的蚀刻层的方法,其中该硬掩模包括碳基材料或掺杂硅的碳基组分之一。该基片设在等离子处理室中。该硬掩模层通过将包括由O2、CO2、N2或H2的至少一个与COS或CS2添加剂组成的开口组分的硬掩模开口气体通入该等离子室、由该硬掩模开口气体形成等离子以及停止该硬掩模开口气体的通入来开口。通过该硬掩模将特征蚀刻进该蚀刻层。去除该硬掩模。 
在本发明另一表现形式中,提供一种打开形成在基片上方的蚀刻层上的碳基硬掩模层的方法。该硬掩模层设在图案化的掩模下方。该基片设在等离子处理室中。该硬掩模层通过将包括COS组分的硬掩模开口气体通入该等离子室、从该硬掩模开口气体形成等离子和停止该硬掩模开口气体的通入而开口。该硬掩模层由无定形碳组成,或由旋涂碳组成,该硬掩模开口气体还包括O2。 
在本发明另一表现形式中,提供一种开口多层抗蚀剂掩模中的旋涂碳层的方法,该掩模形成在基片上方的蚀刻层上。该多层抗蚀剂掩模包括该旋涂碳层、设在该旋涂碳层上方的氧化物基材料层和设在该氧化物基材料层上方的图案化的掩模。该基片设在等离子处理室中。使用该图案化的掩模对该氧化物基材料层进行图案化。使用该图案化的氧化物基材料层,通过将包括COS组分的硬掩模开口气体通入该等离子处理室、由该硬掩模开口气体形成等离子和停止该硬掩模开口气体的通入而对该旋涂碳层开口。该硬掩模开口气体可进一步包括O2。特征可通过该开口的旋涂碳层蚀刻进该蚀刻层,然后,该图案化的旋涂碳层可移出该室。 
在本发明另一表现形式中,提供一种用于在基片上方以 及掩模下方的含碳硬掩模下方的蚀刻层中蚀刻高纵横比特征的设备。提供等离子处理室,包括形成等离子处理室外壳的室壁,在该等离子处理室外壳内支撑基片的基片支撑件,调节该等离子处理室外壳中压强的压强调节器,提供功率至该等离子处理室外壳用以维持等离子的至少一个电极,电气连接至该至少一个电极的至少一个RF功率源,用于将气体提供进该等离子处理室外壳的气体入口,以及用于从该等离子处理室外壳排出气体的气体出口。气体源与该气体入口流体连通,并包括开口组分源、蚀刻气体源和添加剂源。控制器,以可控方式连接至该气体源、该RF偏置源和至少一个RF功率源,并包括至少一个处理器和计算机可读介质。该计算机可读介质包括开口该硬掩模层的计算机可读代码,包括将硬掩模开口气体通入该等离子室的计算机可读代码,该开口气体包括来自该开口组分源的O2、CO2、N2或H2的至少一种组成的开口组分以及来自该添加剂源的COS或CS2添加剂,由该硬掩模开口气体形成等离子的计算机可读代码,和停止该硬掩模开口气体的通入的计算机可读代码,通过该硬掩模将特征蚀刻进该蚀刻层的计算机可读代码,包括从该蚀刻气体源提供蚀刻气体的计算机可读代码,由该蚀刻气体形成等离子的计算机可读代码,和停止该蚀刻气体的计算机可读代码,和去除该硬掩模的计算机可读代码。 
在本发明另一表现形式中,提供一种使用形成在其上的多层抗蚀剂掩模蚀刻基片上方的蚀刻层的设备。该多层抗蚀剂掩模包括形成在该蚀刻层上的旋涂碳层、设在该旋涂碳层上的氧化物基材料层和设在该氧化物基材料层上的图案化的掩模。该设备包括等离子处理室。该等离子处理室包括形成等离子处理室外壳的室壁,在该等离子处理室外壳内支撑基片的基片支撑件,调节该等离子处理室外壳中压强的压强调节器,提供功率至该等离子处理室外壳用以维持等离子的至少一个电极,电气连接至该至少一个电极的至少一个RF功率源,用于将气体提供进该等离子处理室外壳的气体入口,以及用于从该等离子处理室外壳排出气体的气体出口。该设备进一步包括与该气体入口流体连通的气体源,包括开口组分源、蚀刻气体源和添加剂源,以及控制器,以可控方式连接至该气体源、该RF偏置源和至少一个RF功率源。该控制器包括至少一个处理器和计算机可读介质。该计算机可读介质包括使用该图案化的掩模图案化该氧化物基材料层的计算机可读代码,使用该图案化的氧化物基材料层开口该旋涂碳层的计算机可读代码,其包括将包含COS组分的硬掩模开口气体通入该等离子处理室的计算机可读代码,由该硬掩模开口气体形成等离子的计算机可读代码,以及停止该硬掩模开口气体的通入的计算机可读代码。该计算机可读介质进一步包括通过该开口的旋涂碳层将特征蚀刻进该蚀刻层的计算机可读代码,其包括从该蚀刻气体源提供蚀刻气体的计算机可读代码,由该蚀刻气体形成等离子的计算机可读代码,和停止该蚀刻气体的计算机可读代码。该计算机可读介质还包括去除该图案化的旋涂碳层的计算机可读代码。
[0011]本发明的这些和其他特征将在下面的具体描述中结合附图更详细地说明。 
附图说明
[0012]在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中: 
[0013]图1是本发明一个实施例的高级流程图。 
[0014]图2是可用于蚀刻的等离子处理室的示意图。 
图3A-B说明计算机系统,其适于实现用于本发明实施例的控制器。 
图4A-E是按照本发明一个实施例处理的堆栈的示意图。 
图5是利用添加剂开口硬掩模层的步骤的更详细的流程图。 
图6是按照本发明一个实施例,形成在基片上的蚀刻层上的多层抗蚀剂掩模示例的剖视示意图。 
图7是按照本发明这个实施例,使用多层抗蚀剂掩模蚀刻形成在基片上的蚀刻层的工艺的高级流程图。 
图8是按照本发明一个实施例,可用于开口和蚀刻的等离子处理室的示意图。 
图9A是按照本发明一个实施例,在该开口工艺之后旋涂碳层的形貌的剖视示意图。 
图9B是传统的开口工艺(没有COS)之后的旋涂碳层的形貌的剖视示意图,作为参考。 
具体实施方式
现在将根据其如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。 
为了便于理解,图1是本发明的一个实施例中使用的工艺的高级流程图。将具有蚀刻层的基片设在蚀刻室中,该蚀刻层上方是硬掩模层,该硬掩模层上方是掩模(步骤104)。使用带有添加剂COS(氧硫化碳)或CS2(二硫化碳)的开口气体开口该硬掩模层(步骤108)。通过该硬掩模将特征蚀刻进该蚀刻层(步骤112)。在所述蚀刻工艺期间使用包括COS或CS2的钝化气体钝化这些特征(步骤116)。然后完全去除该硬掩模(步骤120)。 
图2是可用于实施本发明的等离子处理室(蚀刻反应器)的示意图。在本发明的一个或多个实施例中,蚀刻反应器200包括室壁250内的顶部中央电极206、顶部外部电极204、底部中央电极208和底部外部电极210。顶部绝缘环207将该顶部中央电极206与该顶部外部电极204绝缘。底部绝缘环212将该底部中央电极208与该底部外部电极210绝缘。还是在该蚀刻反应器200,基片280设在该底部中央电极208的顶部上。可选地,该底部中央电极208集成合适的基片卡紧装置(例如,静电、机械夹紧等),用于夹持该基片280。 
气体源224连接至该蚀刻反应器200,并在该蚀刻工艺期间将该蚀刻气体提供进该蚀刻反应器200的等离子区域240。在这个示例中,该气体源224包括开口气体源264、蚀刻气体源266和COS或CS2源268,其提供用作该硬掩模开口气体的气体。 
偏置RF源248、第一激励RF源252和第二激励RF源256通过控制器235电气连接到该蚀刻反应器200,以提供功率至该电极204、206、208和210。该偏置RF源248生成偏置RF功率,并将该偏置RF功率提供至该蚀刻反应器200。优选地,该偏置RF功率的频率在1千赫兹(kHz)和10兆赫兹(MHz)之间。更优选地,该偏置RF功率的频率在1MHz和5MHz之间。还更优选地,该偏置RF功率的频率为大约2MHz。 
该第一激励RF源252生成源RF功率并将该源RF功率提供至该蚀刻反应器200。优选地,这个源RF功率的频率大于该偏置RF功率。更优选地,这个源RF功率的频率在10MHz和40MHz之间。最优选地,这个源RF功率的频率为27MHz。 
该第二激励RF源256生成另一源RF功率,并将该源RF功率提供至该蚀刻反应器200,除由该第一激励RF源252生成的RF功率之外。优选地,这个源RF功率的频率大于该偏置RF源和该第一激励RF源。更优选地,该第二激励RF源的频率大于或等于40MHz。最优选地,这个源RF功率的频率为60MHz。 
可将不同的RF信号提供至该顶部和底部电极的各种不同的组合。优选地,该RF的最低频率应当通过其上设置有被蚀刻材料的底部电极施加,其在这个示例中是该底部中央电极208。 
该控制器235连接至该气体源224、该偏置RF源248、该第一激励RF源252和该第二激励RF源256。该控制器235控制该蚀刻气体进入该蚀刻反应器200的流动;从该三个RF源248、252、256生成RF功率;该电极204、206、208和210和该排气泵220。 
在这个示例中,提供限制环202以提供对等离子和气体的限制,它们在该限制环之间通过并由该排气泵排出。 
图3A和3B说明一个计算机系统,其适于实现用于本发明一个或多个实施例的控制器235。图3A示出该计算机系统300的一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机系统300包括监视器302、显示器304、机箱306、磁盘驱动器308、键盘310和鼠标312。磁盘314是用来与计算机系统300传入和传出数据的计算机可读介质。 
图3B是计算机系统300的框图的一个例子。连接到系统总线320的是各种各样的子系统。处理器322(也称为中央处理单元,或CPU)连接到存储设备,包括存储器324。存储器324包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向的方式传输数据和指令。这两种类型的存储器可包括下面描述的任何合适的计算机可读介质。固定磁盘326也是双向连接到CPU322;其提供额外的数据存储并且也包括下面描述的任何计算机可读介质。固定磁盘326可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器慢。可以理解的是保留在固定磁盘326内的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器324中。可移动磁盘314可以采用下面描述的任何计算机可读介质的形式。 
CPU322还连接到各种输入/输出设备,如显示器304、键盘310、鼠标312和扬声器330。通常,输入/输出设备可以是下面的任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物阅读器或其他计算机。CPU322可选地可使用网络接口340连接到另一台计算机或者电信网络。利用这样的网络接口,计划在执行上述方法步骤地过程中,CPU可从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU322上单独执行或者可在如Internet的网络上与共享该处理一部分的远程CPU一起执行。 
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为本发明目的专门设计和构建的,或者它们可以是对于计算机软件领域技 术人员来说公知并且可以得到的类型。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光介质,如CD-ROM和全息设备;磁-光介质,如光软盘;以及为了存储和执行程序代码专门配置的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机代码的例子包括如由编译器生成的机器代码,以及包含高级代码的文件,该高级代码能够由计算机使用解释器来执行。计算机可读介质还可以是在载波中由计算机数据信号携带的并且表示能够被处理器执行的指令序列的计算机代码。 示例
为了便于理解本发明,图4A是堆栈400的剖视图示。该堆栈具有基片404,该基片上方设有蚀刻层408,该蚀刻层上方设有硬掩模层412,该硬掩模层上方设有掩模416,该掩模上方设有光刻胶掩模420。在本发明这个实施例中,该基片404是硅晶片和该蚀刻层408是介电层,如掺杂或非掺杂氧化硅无机或有机基低-k介电材料,该硬掩模层412是无定形碳,该掩模416是氧化硅(SiO2)或氮氧化硅(SiON)。在别的示例中,该蚀刻层是二氧化硅基材料,有机硅酸盐玻璃、氮化硅基材料、氮氧化硅基材料、碳化硅基材料、硅或多晶硅材料或任何金属栅极材料的至少一个。在别的示例中,该硬掩模是碳基材料或具有碳组分的硅基材料。 
将该基片404、蚀刻层408、硬掩模层412和掩模416设在该蚀刻反应器200中(步骤104)。通过该光刻胶掩模蚀刻该掩模416以图案化该掩模416,如图4B所示。往往,该掩模416由单层(DARC)或两层(BARC/DARC)(底部抗反射涂层/介电抗反射涂层)组成。用来开口这种掩模的通常气体含有氟碳化合物或氟代烃基化学制剂,可以有也可以没有Ar和O2添加剂。 
该硬掩模层使用COS或CS2添加剂来开口(步骤108)。图5是使用COS或CS2添加剂开口该硬掩模层的步骤的更详细的流程图。将带有添加剂的开口气体通入该蚀刻室(步骤504)。在这个示例中,所提供的开口气体包括O2、COS,也可能还有惰性气体。将该开口气体形成为等离子(步骤508)。该等离子用来开口该硬掩模。图4C是该堆栈400在该开口工艺将特征开口至该硬掩模层412的剖视示意图。一旦这些特征被开口至硬掩模层412,停止该开口气体的通入(步骤512)。更可能,在这个步骤过程中,该光刻胶(PR)层被完全去除。 
用于硬掩模开口的示例性制法提供20mTorr的室压。该静电卡盘温度保持在-10℃。上部电极温度保持在140℃。或者,该静电卡盘温度保持在30℃,和该上部电极温度保持在110℃。提供200sccmO2和10sccmCOS组成的开口气体。提供60MHz的600瓦特持续52秒。对于这个示例性制法,去除该硬掩模的蚀刻速率是大约6000A/min。 
通过该开口的硬掩模层将特征蚀刻进该蚀刻层(步骤112)。所使用的制法取决于待蚀刻材料的类型。对于TEOS、BPSG、低k电介质、FSG、SiN等,需要不同的工艺制法。 
图4D是该堆栈400在已经将这些特征蚀刻进该蚀刻层408之后的剖视示意图。该掩模416可以是同该蚀刻层408相同的材料或具有类似的蚀刻属性。结果,该蚀刻层408和该掩模416之间的选择比非常低或者近似1∶1,这将使得这个掩模在特征蚀刻进该蚀刻层408过程中被蚀刻掉。因为该硬掩模层412具有不同于该蚀刻层408的蚀刻属性,所以可以相对该硬掩模有选择地蚀刻该蚀刻层408。 
在本发明别的实施例中,该蚀刻层可以不掺杂或掺杂二氧化硅基材料(例如TEOS、BPSG、FSG等)、有机硅酸盐玻璃(OSG)、多孔OSG、氮化硅基材料、氮氧化硅基材料、碳化硅基材料、低k-介电或任何金属栅极材料。 
在这个示例中,钝化被蚀刻的特征(步骤116)。在这个示例中,室压为20mTorr。该静电卡盘温度保持在-10℃。上部电极温度保持在140℃。提供200sccmO2和10sccmCOS组成的钝化气体。提供60MHz的600瓦特。在不受到理论限制的情况下,相信该钝化可提供在剥除或去除该硬掩模层期间保护该蚀刻层的阻挡层。很可能,该S与来自该无定形碳的碳键合形成包含C-S或C-S-S-C键的结构。相信这种化合物具有良好的耐蚀刻性。 
去除该硬掩模(步骤120)。可使用标准的有机层剥除工艺,如提供O2剥除气体。该钝化层可用来在剥除期间保护低k介电和/或有机介电层。在可选方案中,添加剂COS或CS2可增加到该剥除气体以在该剥除工艺期间进一步提供保护层。可在去除该硬掩模之后使用湿法清洁工艺以去除任何残余的钝化层,而不损伤该蚀刻层。图4E是该堆栈在已经去除该硬掩模层之后的剖视示意图。 
在一个示例中,该开口气体不含氟。是否使用氟取决于该硬掩模的材料。不含氟的开口气体能够开口不含硅的硬掩模层。在另一示例中,其中该硬掩模层含有硅组分,该开口气体含有氟组分。该氟组分必须正确调节以具有足够的对该掩模416层的选择比。 
除了COS或CS2,该剥除气体优选地包括O2,CO2,N2,或H2至少一个。更优选地该剥除气体包括轰击组分如Ar。更优选地,该剥除气体包括O2或N2。最优选地,该剥除气体包括O2。 
别的示例不提供钝化步骤或提供不采用COS和CS2添加剂的钝化。 
在一个示例中,该硬掩模可以是无定形碳或其可以包含集合在该无定形碳结构中的Si。最优选地,该硬掩模层是无定形碳。这样一种硬掩模可以旋涂或化学气相沉积(CVD)或可由别的方法沉积。在别的示例中,该硬掩模层含有碳组分,如碳基硬掩模,如无定形碳,或具有碳组分的硅基硬掩模。本发明可用来在这样的层中蚀刻任何纵横比特征。 
优选地,该掩模层由氧化硅或SiON组成。优选地,该掩模层和该蚀刻层具有类似的蚀刻属性。优选地,该硬掩模层可相对该掩模层选择性蚀刻和该蚀刻层可相对该硬掩模层选择性蚀刻。 
优选地,本发明提供大于20∶1的高纵横比蚀刻。更优选地本发明提供大于25∶1的高纵横比蚀刻。 
按照本发明一个实施例,多层抗蚀剂(MLR)掩模用于形成在基片上方的蚀刻层的蚀刻。图6示意性地说明多层抗蚀剂掩模600的一个示例,其形成在蚀刻层604上,该蚀刻层形成在基片602上。如图6所示,该多层抗蚀剂掩模600包括形成在该蚀刻层604上的旋涂碳(SOC)层606、设在该旋涂碳层606上的氧化物基材料层608以及设在该氧化物基材料层608上的图案化的掩模610。 
例如,该图案化的掩模610可以是厚度大约120nm的图案化的光刻胶(PR)掩模。该PR掩模610可利用具有大约70nmCD的浸没193nm光刻图案化。该氧化物基材料层608可由SiO2基材料组成,如厚度大约45nm的旋涂玻璃(SOG)层。该旋涂碳层606可在下方的蚀刻层604的蚀刻中用作硬掩模,并且还可以称作旋涂硬掩模(SOH)。该旋涂碳层606厚度可以是350nm。相比之前实施例中 的无定形碳,其通常需要喷溅薄膜沉积工艺,该旋涂碳层使用传统的抗蚀剂涂敷剂通过旋涂形成并因此费用较低。旋涂碳更像聚合物并因此比无定形碳软。另一方面,相比其他有机薄膜,该旋涂碳具有较高的碳浓度和较低的氧浓度。该旋涂碳层可使用有机平面化材料(如NFC,可从JSR Micro,Inc.,Sunnyvale,California得到)以及别的材料(如SOC(旋涂碳),SOH(旋涂硬掩模),可从Shipley Co.Inc.,Marlborough,MA,TOK,Japan,JSR Micro,Inc.等得到)形成。该蚀刻层604可以是TEOS(四-乙基-正-硅酸盐,四-乙基-硅烷)或PE-TEOS层,厚度大约400nm。该基片602可由SiN或其他硅基材料组成。应当注意本发明不限于该蚀刻层或该基片的具体材料。 
图7是按照本发明这个实施例,使用多层抗蚀剂掩模蚀刻形成在基片上的蚀刻层的工艺的高级流程图。上述的该多层抗蚀剂掩模600和该蚀刻层604用作说明性示例。具有多层组成的堆栈的基片602设在等离子处理室中(步骤702)。图8是按照本发明一个实施例,可用于该创新性蚀刻的等离子处理室800的示意图。该等离子处理室800包括限制环802、上部电极804、下部电极808、气体源810和连接至气体出口的排气泵820。在等离子处理室800,该基片602(具有多层组成的堆栈)设在该下部电极808上。该下部电极808结合合适的基片卡紧装置(例如,静电、机械卡紧等),用以夹持该基片602。该反应器顶部828结合该上部电极804,正对该下部电极808设置。该上部电极804、下部电极808和限制环802限定受限等离子容积840。气体由该气体源810通过形成在该顶部电极中的气体入口(孔)843提供至该受限等离子容积840,被提供至该下部电极的RF功率解离为反应性等离子,然后,由该排气泵820通过该限制环802和排出口排出该受限等离子容积840。除了帮助排出气体,该排气泵820还帮助调节压强。在这个实施例中,该气体源810包括图案化气体源812、硬掩模开口气体源814和蚀刻气体源816。该硬掩模开口气体源可包括COS气体源、O2气体源和取决于该开口气体制法 的可选的其他气体源(未示)。该气体源810可进一步包括其他气体源818,如用于随后的在该处理室800中执行的用于该硬掩模的剥除工艺的剥除气体源。 
如图8,RF源848电气连接至该下部电极808。室壁852围绕该限制环802、该上部电极804和该下部电极808。该RF源848可包括2MHz功率源、60MHz功率源和27MHz功率源。可以有不同的RF功率与该电极连接的组合。在Lam Research Corporation的介电蚀刻系统的情形中,如 
Figure G2008800146896D00141
Series,由Fremont,California的LAMResearch CorporationTM制造,其可以用于执行本发明的优选实施例,该27MHz、2MHz和60MHz功率源构成该RF功率源848,连接至该下部电极,该上部电极接地。控制器835以可控方式连接至该RF源848、排气泵820和该气体源810。该控制器835可以实现为与参照图3A和3B描述的该控制器235相同。 
回头参照图7,使用图案化气体通过该图案化的PR掩模610图案化该氧化物基材料层608(步骤704)。任何传统的气体适于蚀刻/图案化该氧化物基材料层608。然后,该旋涂碳层606使用硬掩模开口气体通过该图案化的氧化物基材料层608来开口(步骤706)。在该开口步骤,将包含COS组分的该硬掩模开口气体从该硬掩模气体源引入该等离子处理室。由该硬掩模开口气体形成等离子以便开口(蚀刻)该旋涂碳层。然后,停止该硬掩模开口气体的通入。按照本发明的一个实施例,该硬掩模开口气体进一步包括O2。优选地,该硬掩模开口气体实际上由O2、COS和稀释(dilutant)气体(如Ar)组成。或者,该硬掩模开口气体可包括COS,O2、CO2、N2或H2至少一个,以及可选的Ar。CO或CH4可进一步添加到该硬掩模开口气体。在优选的示例中,该硬掩模开口气体包含大约100至400sccmO2和大约1至50sccmCOS,优选地,大约5至20sccmCOS,更优选地大约10sccmCOS。或者,COS可以是该硬掩模开口气体总流量的大约 1%至25%,优选地5%至15%,更优选地大约10%。硬掩模开口的示例性制法提供20mTorr的室压。该静电卡盘温度保持在30℃。上部电极温度保持在110℃。提供由200sccmO2和10sccmCOS组成的开口气体。 
图9A示意性说明该旋涂碳层在按照本发明一个实施例的开口工艺之后的形貌的剖视图。为了对比,图9B示出该旋涂碳层在传统的开口工艺(没有COS)之后的形貌的剖视示意图,作为参照。通过将COS添加到该硬掩模开口气体,该旋涂碳层606的形貌得到显著改善。由于旋涂碳更像聚合物并且比无定形碳软,所以相信该旋涂碳层在该开口工艺期间更容易受到低切、弯曲、锥化等影响。申请人已经尝试了各种各样的气体,如CH3F、CH4、C2H4和CO,作为添加剂添加至该硬掩模开口气体以控制该旋涂碳层的形貌,并且发现COS出乎意料地既改善该形貌,又能保持该开口工艺的高蚀刻速率。COS不会像别的添加剂那样显著影响该蚀刻速率。 
回头参照图7,使用这样开口的旋涂碳层作为硬掩模,使用蚀刻气体将特征蚀刻进该蚀刻层604(步骤708),通过从该蚀刻气体源提供蚀刻气体、由该蚀刻气体形成等离子和停止该蚀刻气体。该蚀刻层的蚀刻可以类似于前述实施例的方式进行,或可使用适于该蚀刻层(在这个示例中是TEOS)的任何传统蚀刻工艺进行。在随后工艺(步骤710)中,可完全去除该硬掩模。 
尽管本发明依照多个实施方式描述,但是存在落入本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。 

Claims (27)

1.一种用于开口在基片上方的蚀刻层上形成的碳基硬掩模层的方法,该硬掩模层设在图案化的掩模下方,该方法包括:
将该基片设在等离子处理室;以及
开口该硬掩模层,包括:
将含有COS组分的硬掩模开口气体通入该等离子室;
由该硬掩模开口气体形成等离子;以及
停止该硬掩模开口气体的通入。
2.根据权利要求1所述的方法,其中该硬掩模层由无定形碳组成。
3.根据权利要求1所述的方法,其中该硬掩模层由旋涂碳组成。
4.根据权利要求1所述的方法,其中该硬掩模开口气体进一步包括O2
5.根据权利要求4所述的方法,其中该硬掩模开口气体包括O2、COS和稀释气体。
6.根据权利要求1所述的方法,其中该硬掩模开口气体进一步包括O2、CO2、N2或H2至少一个。
7.根据权利要求1所述的方法,其中在该图案化的掩模和该硬掩模层之间提供氧化物基材料层,该方法进一步包括:使用该图案化的掩模图案化该氧化物基材料层,
以及其中该硬掩模层通过该图案化的氧化物基材料层而开口。
8.一种开口形成在基片上方的蚀刻层上的多层抗蚀剂掩模中的旋涂碳层的方法,该多层抗蚀剂掩模包括该旋涂碳层、设在该旋涂碳层上方的氧化物基材料层和设在该氧化物基材料层上的图案化的掩模,该方法包括:
将该基片设在等离子处理室;
使用该图案化的掩模图案化该氧化物基材料层;以及
使用该图案化的氧化物基材料层开口该旋涂碳层,该开口包括:
将包含COS组分的硬掩模开口气体通入该等离子处理室;
由该硬掩模开口气体形成等离子;以及
停止该硬掩模开口气体的通入。
9.根据权利要求8所述的方法,其中该硬掩模开口气体进一步包括O2
10.根据权利要求9所述的方法,其中该硬掩模开口气体包括O2、COS和稀释气体。
11.根据权利要求8所述的方法,其中该硬掩模开口气体进一步包括O2、CO2、N2或H2中至少一个。
12.根据权利要求8所述的方法,其中COS是该硬掩模开口气体总流量的1%至25%。
13.根据权利要求12所述的方法,其中COS是该硬掩模开口气体总流量的5%至15%。
14.根据权利要求13所述的方法,其中COS是该硬掩模开口气体总流量的10%。
15.一种使用形成在其上的多层抗蚀剂掩模蚀刻基片上方的蚀刻层的方法,该多层抗蚀剂掩模包括形成在该蚀刻层上的旋涂碳层、设在该旋涂碳层上的氧化物基材料层和设在该氧化物基材料层上的图案化的掩模,该方法包括:
将该基片设在等离子处理室;
使用该图案化的掩模图案化该氧化物基材料层;
开口该旋涂碳层使用该图案化的氧化物基材料层,该开口包括:
将包括COS组分的硬掩模开口气体通入该等离子处理室;
由该硬掩模开口气体形成等离子;以及
停止该硬掩模开口气体的通入;
通过该开口的旋涂碳层将特征蚀刻进该蚀刻层;以及
去除该图案化的旋涂碳层。
16.一种使用形成在其上的多层抗蚀剂掩模蚀刻基片上方的蚀刻层的设备,该多层抗蚀剂掩模包括形成在该蚀刻层上的旋涂碳层、设在该旋涂碳层上的氧化物基材料层和设在该氧化物基材料层上的图案化的掩模,该设备包括:
等离子处理室,包括:
形成等离子处理室外壳的室壁;
在该等离子处理室外壳内支撑基片的基片支撑件;
调节该等离子处理室外壳中压强的压强调节器;
提供功率至该等离子处理室外壳用以维持等离子的至少一个电极;
电气连接至该至少一个电极的至少一个RF功率源;
用于将气体提供进该等离子处理室外壳的气体入口;以及
用于从该等离子处理室外壳排出气体的气体出口;
与该气体入口流体连通的气体源,包括图案化气体源、开口气体源和蚀刻气体源;以及
控制器,以可控方式连接至该气体源、RF偏置源和该至少一个RF功率源,包括:
至少一个处理器;以及
装置,包括:
使用该图案化的掩模图案化该氧化物基材料层的功能模块;
使用该图案化的氧化物基材料层开口该旋涂碳层的功能模块,包括:
将包括COS组分的硬掩模开口气体通入该等离子处理室的功能模块;
由该硬掩模开口气体形成等离子的功能模块;以及
停止该硬掩模开口气体的通入的功能模块;以及
通过该开口的旋涂碳层将特征蚀刻进该蚀刻层的功能模块,包括:
从该蚀刻气体源提供蚀刻气体的功能模块;
由该蚀刻气体形成等离子的功能模块;以及
停止该蚀刻气体的功能模块;以及去除该图案化的旋涂碳层的功能模块。
17.蚀刻位于基片上方和硬掩模层下方的蚀刻层的方法,该硬掩模层设在掩模下方,该方法包括:
将该基片设在等离子处理室;
开口该硬掩模层,包括:
将具有COS或CS2组分的硬掩模开口气体通入该等离子室;
由该硬掩模开口气体形成等离子;以及
停止该硬掩模开口气体的通入;
通过该硬掩模将特征蚀刻进该蚀刻层;以及
去除该硬掩模。
18.根据权利要求17所述的方法,其中该硬掩模包括碳基材料或具有碳组分的硅掺杂碳基材料之一。
19.根据权利要求18所述的方法,其中该硬掩模层是无定形碳。
20.根据权利要求18所述的方法,其中该硬掩模开口气体进一步包括O2、CO2、N2或H2至少一个。
21.根据权利要求20所述的方法,其中该硬掩模开口气体进一步包括Ar。
22.根据权利要求17所述的方法,其中该掩模由氧化硅或SiON组成。
23.根据权利要求22所述的方法,其中该蚀刻层是二氧化硅基材料、有机硅酸盐玻璃、氮化硅基材料、氮氧化硅基材料、碳化硅基材料、硅或多晶硅材料或任何金属栅极材料之一。
24.根据权利要求17所述的方法,其中该硬掩模由碳基材料组成,其中该去除该硬掩模是氧气灰化,其中该蚀刻层是低k介电层,该方法进一步包括,在去除该硬掩模之前钝化蚀刻进所述蚀刻层的特征的侧壁,其包括:
提供包含氧气与添加剂COS或CS2的灰化气体;
由该灰化气体形成等离子;以及
停止该灰化气体。
25.一种由权利要求17所述方法制得的半导体器件。
26.一种用于在基片上方以及掩模下方的含碳硬掩模下方的蚀刻层中蚀刻高纵横比特征的设备,包括:
等离子处理室,包括:
形成等离子处理室外壳的室壁;
在该等离子处理室外壳内支撑基片的基片支撑件;
调节该等离子处理室外壳中压强的压强调节器;
提供功率至该等离子处理室外壳用以维持等离子的至少一个电极;
电气连接至该至少一个电极的至少一个RF功率源;
用于将气体提供进该等离子处理室外壳的气体入口;以及
用于从该等离子处理室外壳排出气体的气体出口;气体源,与该气体入口流体连通,包括:
开口组分源;
蚀刻气体源;以及
添加剂源;以及
控制器,以可控方式连接至该气体源、RF偏置源和该至少一个RF功率源,包括:
至少一个处理器;以及
装置,包括:
开口该硬掩模层的功能模块,包括:
将硬掩模开口气体通入该等离子室的功能
模块,该硬掩模开口气体包括来自该开口组分源的O2、N2或H2的至少一种组成的开口组分以及来自该添加剂源的COS或CS2添加剂;
由该硬掩模开口气体形成等离子的功能模块;以及
停止该硬掩模开口气体的通入的功能模块;
通过该硬掩模将特征蚀刻进该蚀刻层的功能模块,包括
从该蚀刻气体源提供蚀刻气体的功能模块;
由该蚀刻气体形成等离子的功能模块;以及
停止该蚀刻气体的功能模块;以及
去除该硬掩模的功能模块。
27.根据权利要求27所述的设备,其中该硬掩模由碳基材料组成,其中该去除该硬掩模是氧气灰化,其中该蚀刻层是低k介电层,其中该装置进一步包括,在去除该硬掩模之前钝化蚀刻进所述蚀刻层的特征的侧壁的功能模块,该设备包括:
提供包含来自该开口组分源的氧气与来自该添加剂源的添加剂COS或CS2的灰化气体的功能模块;
由该灰化气体形成等离子;以及
停止该灰化气体。
CN2008800146896A 2007-05-03 2008-05-02 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制 Active CN101675505B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US6614707P 2007-05-03 2007-05-03
US61/066,147 2007-05-03
US4401208P 2008-04-10 2008-04-10
US61/044,012 2008-04-10
PCT/US2008/062411 WO2008137670A1 (en) 2007-05-03 2008-05-02 Hardmask open and etch profile control with hardmask open

Publications (2)

Publication Number Publication Date
CN101675505A CN101675505A (zh) 2010-03-17
CN101675505B true CN101675505B (zh) 2012-11-21

Family

ID=39943946

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800146896A Active CN101675505B (zh) 2007-05-03 2008-05-02 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制

Country Status (5)

Country Link
US (1) US20100327413A1 (zh)
KR (2) KR20150018592A (zh)
CN (1) CN101675505B (zh)
TW (1) TWI455203B (zh)
WO (1) WO2008137670A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2009200080A (ja) * 2008-02-19 2009-09-03 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
TWI495009B (zh) * 2010-02-12 2015-08-01 Advanced Micro Fab Equip Inc A Plasma Etching Method with Silicon Insulating Layer
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
CN107611258A (zh) * 2011-11-23 2018-01-19 应用材料公司 用于氧化硅化学气相沉积光刻胶平坦化的方法
CN103227109B (zh) * 2012-01-31 2015-11-25 中微半导体设备(上海)有限公司 一种有机物层刻蚀方法
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
KR20130107628A (ko) 2012-03-22 2013-10-02 삼성디스플레이 주식회사 트렌치 형성 방법, 금속 배선 형성 방법, 및 박막 트랜지스터 표시판의 제조 방법
KR101926418B1 (ko) 2012-05-16 2018-12-10 삼성전자주식회사 반도체 소자의 제조 방법
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP5898587B2 (ja) * 2012-08-09 2016-04-06 株式会社東芝 パターン形成方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US9397004B2 (en) 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
JP6196190B2 (ja) * 2014-07-08 2017-09-13 信越化学工業株式会社 多層膜形成方法及びパターン形成方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
JP6907217B2 (ja) * 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
KR102638422B1 (ko) * 2017-04-26 2024-02-19 도쿄엘렉트론가부시키가이샤 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
CN109994379B (zh) * 2017-12-29 2021-10-19 长鑫存储技术有限公司 双重图形化方法及双重图形化结构
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
JP7399863B2 (ja) * 2018-02-05 2023-12-18 ラム リサーチ コーポレーション アモルファスカーボン層の開孔プロセス
WO2020118301A1 (en) * 2018-12-07 2020-06-11 Sunrise Memory Corporation Methods for forming multi-layer vertical nor-type memory string arrays
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11264249B2 (en) 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
WO2020190878A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Carbon based depositions used for critical dimension control during high aspect ratio feature etches and for forming protective layers
US11515309B2 (en) 2019-12-19 2022-11-29 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
WO2023220054A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Simultaneous dielectric etch with metal passivation
WO2024124150A1 (en) * 2022-12-09 2024-06-13 Lam Research Corporation Selective metal passivation of carbon and nitrogen containing layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030207207A1 (en) * 2002-05-03 2003-11-06 Weimin Li Method of fabricating a semiconductor multilevel interconnect structure
WO2006107495A1 (en) * 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3116569B2 (ja) * 1992-06-29 2000-12-11 ソニー株式会社 ドライエッチング方法
WO1998032162A1 (fr) * 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7109101B1 (en) * 2003-05-06 2006-09-19 Amd, Inc. Capping layer for reducing amorphous carbon contamination of photoresist in semiconductor device manufacture; and process for making same
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
KR100618907B1 (ko) * 2005-07-30 2006-09-01 삼성전자주식회사 다중 반사 방지층을 포함한 반도체 구조물 및 그 구조물을이용한 pr 패턴 형성 방법 및 반도체 소자의 패턴 형성방법
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US8815745B2 (en) * 2008-02-01 2014-08-26 Lam Research Corporation Reducing damage to low-K materials during photoresist stripping

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030207207A1 (en) * 2002-05-03 2003-11-06 Weimin Li Method of fabricating a semiconductor multilevel interconnect structure
WO2006107495A1 (en) * 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control

Also Published As

Publication number Publication date
US20100327413A1 (en) 2010-12-30
KR20100028544A (ko) 2010-03-12
WO2008137670A1 (en) 2008-11-13
CN101675505A (zh) 2010-03-17
TWI455203B (zh) 2014-10-01
TW200908138A (en) 2009-02-16
KR20150018592A (ko) 2015-02-23

Similar Documents

Publication Publication Date Title
CN101675505B (zh) 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制
US8394722B2 (en) Bi-layer, tri-layer mask CD control
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US8778207B2 (en) Plasma etch processes for boron-doped carbonaceous mask layers
US10643854B2 (en) Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US6949460B2 (en) Line edge roughness reduction for trench etch
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
US20050130435A1 (en) Method of preventing damage to porous low-k materials during resist stripping
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN101421830A (zh) 无限选择性的光刻胶掩膜蚀刻
US8470715B2 (en) CD bias loading control with ARC layer open
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
US20110097904A1 (en) Method for repairing low-k dielectric damage
US7396769B2 (en) Method for stripping photoresist from etched wafer
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
KR20040067919A (ko) 도핑된 실리콘 카바이드에 대해 오르가노실리케이트유리를 선택적으로 에칭하는 방법
US20060011578A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant