TWI363105B - Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same - Google Patents

Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same Download PDF

Info

Publication number
TWI363105B
TWI363105B TW095127380A TW95127380A TWI363105B TW I363105 B TWI363105 B TW I363105B TW 095127380 A TW095127380 A TW 095127380A TW 95127380 A TW95127380 A TW 95127380A TW I363105 B TWI363105 B TW I363105B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
disposed
electrode
vapor deposition
Prior art date
Application number
TW095127380A
Other languages
English (en)
Other versions
TW200716784A (en
Inventor
Ajay Kumar
Virinder Grewal
Wai-Fan Yau
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200716784A publication Critical patent/TW200716784A/zh
Application granted granted Critical
Publication of TWI363105B publication Critical patent/TWI363105B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1363105
九、發明說明: 【發明所屬之技術領域】 本發明大體來說係有關於一種使用一硬罩幕的 造方法,以及一種整合光罩製程的集結式機台及方: 【先前技術】 在積體電路(1C)、或晶片的製造中,晶片設計 出代表該晶片的不同層的圖案。由這些圖案製出一 可再使用的罩幕或光罩,以在製造製程期間將晶片 的設計圖案轉移至一半導體基材上β罩幕圖案產生 用精準的雷射或電子束來將該晶片每一層的設計圖 (image)在各自的罩幕上。然後以類似照相負片的方 該等罩幕,以轉移每一個層的電路圖案至一半導 上。這些層係利用一連串的製程來建構,並轉變為 一個完成的晶片之微小的電晶體及電路。因此,該 的任何缺陷都會被轉移至該晶片,可能對效能產生 響。足夠嚴重的缺陷可讓該罩幕變得完全無用。通 用一組1 5至3 0個罩幕來建構一晶片,並且可以重4 罩幕通常是具有一層鉻在一側上的玻璃或石英 該罩幕也可含有一層摻雜鉬的氮化矽(SiN)。該鉻層 反射塗層以及一光敏性光阻覆蓋。在圖案化製程期 電路設計經由暴露該光阻的一部分在紫外光下,使 部分可溶於顯影液中來寫入在該罩幕上。然後除去 的可溶部分,使暴露出的下層鉻可以被蝕刻。該蝕 從該罩幕上該光阻被除去的位置移除鉻和抗反射層 光罩製 去。 師設計 系列的 每一層 系統運 案成像 式使用 體基材 包含每 罩幕内 不利影 常,使 I使用。 基材。 被一抗 間,該 該暴露 該光阻 刻製程 ,也就 5 1363105 是說,暴露出的鉻被移除。
用於圖案化的另一種罩幕稱為石英相位移罩幕。該石 英相位移罩幕與上述罩幕類似,除了透過該圖案化鉻層暴 露出的石英範圍的交隔區域係經蝕刻至約等於製造期間用 來將該電路圖案轉移至一基材的光波長的一半的深度。因 此,當該光線透過該石英相位移罩幕露出而曝光配置在該 基材上的光阻時,透過該罩幕内之一開口照射在該光阻上 的光線相對於通過緊臨開口的光線呈180度反相。因此, 可能在該罩幕開口邊緣處散射的光線被在該相鄰開口邊緣 處散射的180度光線抵消,造成光線在預定的光阻區内之 較緊密的分佈。較緊密分佈的光線輔助擁有較小關鍵尺寸 的特徵之寫入。同樣地,無鉻蝕刻微影用的罩幕也使用光 線通過兩個罩幕的石英部分之相位移來相繼造像該光阻, 據此改善用來顯影該光阻圖案的光線分佈。
在製造該光罩期間,以電漿蝕刻至少一個層的步驟中 使用一光阻蝕刻罩幕。當該光阻在蝕刻製程期間被稍微蝕 刻時,被蝕刻的光罩層之關鍵尺寸的尺寸控制遭遇困難。 在關鍵尺寸超過1 0微米的結構中,沿著透過其間蝕刻該結 構之該光阻的開口邊緣之粗糙度並沒有嚴重到會引起重大 顧慮的地步。但是,當關鍵尺寸,特別是光罩本身的,降 至低於約5微米及進入奈米等級時,光阻開口的邊緣粗糙 度值與該關鍵尺寸自身者相等,因此,即使是粗糙度有輕 微變異也可能使該等關鍵尺寸變得超出規格。此外,因為 使用光阻罩幕的蝕刻經受蝕刻偏壓(蝕刻期間光阻開口的 6 1363105 放大),使用光阻罩幕來製造低於約5微米的關鍵尺寸對製 造者而言極富挑戰性,因為這些問題造成蝕刻出的光罩特 徵不一致,而相應地降低利用此罩幕製造具有小關鍵尺寸 的特徵的能力。隨著罩幕的關鍵尺寸持續缩小,蝕刻一致 性的重要性也增加。
因此,技藝中需要一種改善光罩製造製程及設備。為 了發揚該改善的光罩製造製程,也需要一種整合製造光罩 的製程之改善的集結式機台及方法。 為改善光罩製造,研發出一種使用一罩幕技術的改善 之蝕刻製程,並獲得形成在一光罩中之特徵的較佳尺寸控 制。為了瞭解該改善之蝕刻製程的優點,該製造製程需要 沉積並處理額外的材料層,與用在光罩製造中的習知機台 比較。但是,因為額外的機台及該等機台在晶圓廠内佔用 的空間大幅度增加持有成本,也提供能夠以最小金融投資 來執行所有額外的製造步驟之系統。 【發明内容】
在一實施例中,一種光罩製造製程包含圖案化一位在 含有鉻之薄膜堆疊上的硬罩幕,在一製程處理室内透過該 圖案化光罩蝕刻該鉻層,以及在移除該鉻層的製程處理室 内除去該硬罩幕。 在其他實施例中,提供一種整合光罩製程之整合式基 材處理系統(例如集結式機台)及方法。在一實施例中,適 於整合光罩製造製程之集結式機台包含一真空傳送室,其 具有至少一個硬罩幕沉積腔室及至少一個用來蝕刻鉻的電 7 1363105 漿腔室與之連結。
在另一實施例中,一種整合光罩製程之方法包含在與 一集結式機台連結之第一製程處理室中於一基材上沉積一 硬罩幕,在該基材上沉積一光阻層,圖案化該光阻層,在與 該集結式機台連結之第二處理室中透過形成在該圖案化光 阻層内的開口蝕刻該硬罩幕,以及在與該集結式機台連結之 第三處理室中透過形成在該硬罩幕内的開口蝕刻一鉻層。在 本發明進一步的實施例中,該硬罩幕係在該第二或第三處理 室的至少一者中利用從一含氧氣體形成的電漿除去。 【實施方式】
本發明之實施例包含一種用於光罩製造之改善製程, 以及用於整合光罩製造製程之改良集結式機台及方法。該 光罩製造方法包含在欲處理成為一光罩的薄膜堆疊上形成 一超薄硬罩幕。該薄膜堆疊一般包含一含鉻層及一石英 層。該薄膜堆疊可另外包含一光衰減層(light-attenuating layer),例如一含19層。在一實施例中,該硬罩幕材料可 選擇對於被蝕刻的下方層(例如石英及/或含鉻層)具有高 選擇性的材料。在另一實施例中,該硬罩幕材料可選擇其 蝕刻速率可與欲透過該硬罩幕蝕刻的下方層者相比擬的材 料。由於本發明的硬罩幕並未使用在此所述的化學品進行 橫向蝕刻,形成在該硬罩幕内的開口之尺寸穩定性能使光 罩結構的關鍵尺寸小於5微米,並能精確地製造出奈米等 級的光罩結構。 第1圖示出適於執行本發明之光罩製造方法之一實施 1363105 例的例示整合式半導體基材處理系統(例如集結式機 台)100的簡要平面圖。該系統100例示地包含一真空密閉 中央傳送室104、一輸入/輸出模組(例如,工廢介面)102 以及一系統控制器1 40。在一實施例中,該傳送室1 04包 含複數個製程處理室以及至少一個負載鎖定室1 06 (第1圖 示出兩個),其圍繞中央傳送室104的周邊連結。
該等負載鎖定室106係用來在該工廠介面102實質上 的大氣環境及該傳送室1 04的真空環境間傳送基材。該傳 送室104具有設置在其中的真空機械臂130,配置來在該 等負載鎖定室106和與該傳送室104連結的各個製程處理 室間傳送基材。
與該傳送室104連結的該等製程處理室一般包含至少 一個配置來蝕刻硬罩幕的蝕刻處理室、至少一個配置來蝕 刻鉻層的蝕刻處理室、以及至少一個化學氣相沉積處理 室。在一實施例中,該化學氣相沉積處理室、該等蝕刻處 理室及/或另一種處理室之至少其中一者能夠執行灰化製 程,例如藉由暴露在從一含氧氣體形成的電漿下來除去該 硬罩幕。在第1圖所示的實施例中,四個製程處理室與該 傳送室104連結,該等處理室包括一第一處理室110配置 來沉積硬罩幕材料、一第二處理室112配置來蝕刻該硬罩 幕材料、一第三處理室114配置來蝕刻鉻,以及一第四處 理室116配置來除去該硬罩幕材料。適用在本發明中的蝕 刻處理室和灰化處理室的範例包含可由加州聖塔克拉拉的 應用材料公司取得之 TETRA®、TETRA®n 、DPS®n 、 1363105 DPS® Π HT '以及 AXIOM™製程處理室。該等製程處理室 110、112、114、116各自的例示實施例係參考第5— 7圖 詳述於下。
在一實施例中,該工廠介面1 02包含一量測模組1 2 6、 至少一停靠站用以接收至少一個前開式晶圓盒 (FOUP)108,以及至少一個晶圓傳送(FI)機械臂132。在第 1圖所示的實施例中示出兩個FOUP 108。該量測模組126 運用至少一種適於測量形成在該基材上之結構關鍵尺寸的 非破壞性測量技術。可適於從本發明受惠之一量測模組光 學性地測量關鍵尺寸,並且可由加州 Milpitas 的 Nanometrics公司取得。預期到也可使用適於測量關鍵尺寸 的其他測量工具。該FI機械臂1 3 2係經配置以在該等FOUP 1 0 8、該量測模組1 2 6、和該負載鎖定室1 0 6間傳送處理前 和處理後的基材,並且可沿著一軌道11 8定位,以增加該 等機械臂的移動範圍。
或者,該量測模組1 26可直接與該傳送室1 04連結, 例如,取代該等製程處理室110、112、114、116其中之一’ 或連結在該傳送室104的另一面上。此配置有助於收集關 鍵尺寸數據,而不需要將基材傳送出該真空環境。也預期 到可使用兩或多個量測模組,並且至少一個量測模組可以 設置在該系統1 〇 0的大氣及真空側。 該系統控制器1 40連結至並控制該整合式處理系統 1 0 0。利用直接控制該系統1 0 0的處理室及設備,或者是藉 由控制與這些處理室及設備連結的電腦(或控制器),該系 10 1363105
統控制器1 40控制該系統1 00的所有操作 該系統控制器1 4 0容許數據收集,以及從 取得之數據的前饋及反饋來最佳化該系統 助保持光罩CD(關鍵尺寸)的設計公差。 該系統控制器140通常包含中央處理 記憶體144、以及支持電路146。該CPU 在工業設定中使用的一般用途電腦處理i 式。該等支持電路1 4 6係以習知方式與該 並且可包含快取、時鐘電路、輸入/輸出 應器、及諸如此類者。該等軟體常式,當' 行時,將該CPU轉變為特定用途電腦(控 軟體常式也可由遠離該系統1〇〇的一第二 储存及/或執行。 第2圖示出根據本發明之一實施例所 圖所示光罩320之製造方法200流程圖的 2 0 0 —般係儲存在該記憶體1 4 4内,通常 態。雖然本發明之方法200係以軟體常式 論,但是在此揭示的某些或所有的方法步 態以及由該軟體控制器執行。如此,本發 在一電腦系統上執行來實施,亦可實施為 電路等硬體或其他類型的硬體實施型態, 的組合。 該方法 200始於步驟 202,一基材 FOUP 108經由其中一負載鎖定室106傳送 態樣。操作時, 該量測模組1 2 6 100的效能並輔 單元(CPU)142、 1 4 2可以是能夠 畜的任何一種形 CPU 142 連接, 子系統、電源供 会該CPU 142執 制器)1 4 2。該等 控制器(未示出) 作,如第3 A — G 實施例。該方法 以軟體常式的形 的實施方式來討 驟也可以硬體型 明可以軟體型態 一特定應用積體 或是軟體和硬體 122從其中一個 至該第一製程處 11 1363105
理室110。該基材122通常包含所製造的光罩結構之薄膜 堆疊300。該薄膜堆疊300包含一透光性矽基材料,例如 石英層302(即,二氧化矽(Si 02)),具有不透光的遮光鉻層 304,也就是所熟知的光罩材料,在該石英層302的表面上 形成一圖案化罩幕。該鉻層304可以是鉻及/或氮氮氧化 鉻。該基材122也可包含一衰減層 306(以虛線示出),例 如摻雜鉬(Mo)的氮化矽(SiN)或矽化鉬(MoSi),插入在該石 英層302和鉻層304間,如第3A圖所示般。
在步驟204,利用在該第一製程處理室110内執行化 學氣相沉積製程在該基材1 2 2上沉積一硬罩幕層3 0 8,如 第3B圖所示般。該硬罩幕層308具有,但不限於,約50 埃至約1000埃的厚度。該硬罩幕層308可以是氮氧化鉻、 氮氧化矽、富含矽的氧化物、富含矽的氮化物、富含矽的 氮氧化物、氣化鈦、碎化钥、以及包括SiC、SiCH、SiCOH、 SiCNH、SiCONH等碳化矽、類鑽石碳、碳、鎢、二氧化 矽、及四氮化三矽與其他適合材料。該硬罩幕層308也可 以是高溫無機碳材料,稱為α -碳。沉積α -碳材料的製程 可是從應用材料公司取得商標名為先進圖案化薄膜(APF™) 的製程。此外,α -碳材料在2000年6月8號提出申請的 共同讓渡之美國專利申請案第〇9/590,322號中揭示,其在 此藉由引用其全文的方式併入本文中。該α-碳材料可進一 步以氮氣摻雜(Ν2),以改善該α -碳材料相對於該薄膜堆疊 3 0 0中其他材料的蝕刻選擇性。 在一實施例中,係藉由加熱含有一或多種碳氫化合物 < 5 ) 12 1363105
以及一惰性氣體的氣體混合物,以熱分解該氣體混合物内 的一或多種碳氫化合物而形成一非晶礙層來沉積硬罩幕層 3 08。適合的碳氫化合物包含通式為CxHy的氣體,其中X 的範圍從2至4,而y的範圍從2至10。該氣體混合物可 以加熱至介於約100至約700°C的溫度。在沉積期間,可 以配置一偏壓以操縱所沉積材料的應力,以改善該硬罩幕 層308對於該下方薄膜的附著。尤其是,當該光罩的關鍵 尺寸趨近45奈米等級時,硬罩幕層308附著不良,其引發 蝕刻偏差,會造成從該罩幕材料轉移至該光罩的CD令人 無法接受。
在一實施例中,可在沉積期間提供由兩個電源供應的 不同頻率之偏壓,以操縱所沉積材料的應力,藉此改善該 硬罩幕層308對於該下方層的附著。尤其是,當該光罩的 關鍵尺寸趨近45奈米時,硬罩幕層308之附著不良將促成 蝕刻偏差,會造成從該罩幕材料轉移至該光罩的CD令人 無法接受。例如,以介於約2至約2 7 Μ Η z的頻_率範圍提 供上至約1 000瓦的總偏壓功率。該較高頻率電源和該較低 頻率電源間的功率比例範圍可從1 〇 〇 %至約〇 %,以及從約 0 %至約 1 0 0 %。也預期到可調整該頻率至較高及/或較低 頻率,取決於薄膜堆疊組成、欲沉積的硬罩幕材料、以及 所沉積的硬罩幕薄膜内的預期應力水準。 在一實施例中,該硬罩幕層308可包含一介電抗反射 塗層(DARC),用來控制微影圖案化製程期間的光線反射。 一般來說,該 DARC層含有二氧化矽(Si02)、氮氧化矽 13 1363105
(SiON)、氮化碎(Si3N4) '以及諸如此類者。在一 例中,一硬罩幕層包含α -碳薄膜及一 D ARC層, 度為約1 8 0 0埃。 在步驟206,從該第一製程處理室110移出 有該硬罩幕層308的基材122,並經由該等負載鎖 之其中一者傳回該等F0UP 108其中之一。在步瑪 該基材 1 2 2從該系統 1 0 0移出以使一圖案化的 310形成在該硬罩幕層308上,如技藝中熟知般 210,其上具有該圖案化光阻罩幕310的基材122 統100,如第3C圖所示般。 選擇性地,在步驟 212,可將具有該圖案化 310配置在其上的基材122從該F0UP 108傳送至 組1 2 6。在步驟2 1 4内,於該量測模組1 2 6中測 以界定該光阻罩幕3 1 0之結構的尺寸。為執行測 量工具可使用至少一種非破壞性光學測量技術, 儀、干涉儀、散射儀、反射儀、橢圓儀等等。所 寸可包含構形尺寸、關鍵尺寸(CD)、厚度、輪廟; angle)等·»「關鍵尺寸(critical dimentions)」一詞 圖案化罩幕3 1 0之結構的最小寬度,該圖案化罩 結構定義出用來蝕刻該硬罩幕層308的開口。該 一批次基材的每一個基材上執行,並且以預定 量。在一實施例中,該量測模組12 6在每一個基 取得該光阻罩幕3 1 0的關鍵尺寸測量值。可在該 數個區域上執行該測量以得到統計上有效的平均 例示實施 其組合厚 其上配置 定室106 ί 208,將 光阻罩幕 。在步驟 回到該系 光阻罩幕 該量測模 量出該用 量,該測 例如光错 測仔的尺 % (profile 在此表示 幕3 10的 測量可在 的週期測 材122上 基材的複 CD測量 14 1363105
值。這些測量的結果可用在該整合式半導體基材處理系統 中,以判定修改製程的配方,或者可做為用以調整該光阻 罩幕形成製程的反饋資訊,可做為用以調整該鉻蝕刻製程 的前饋資訊,用來監控製程效能、品管、或其他用途。利 用該光阻圖案化罩幕的修改前測量來控制所蝕刻特徵的尺 寸之方法的範例在共同讓渡之2003年5月1號提出申請的 美國專利申請案第1〇/428,145號以及20〇3年9月19號提 出申請的美國專利申請案第10/6 66,317號中揭示。可在本 文中所述的任何蝕刻步驟後如上述般取得及使用 CD測 量。 在步驟216,從該FOUP 1〇8(或量測模組126)將其上 具有該圖案化光阻罩幕310的基材122經由該等負載鎖定 室106之其中一者傳送至該第二製程處理室112。在步驟 2 1 8 ’利用該圖案化光阻罩幕3 1 0做為蝕刻罩幕來蝕刻該硬 罩幕層308,如第3D圖所示般》
在該硬罩幕層308由Si ON形成的實施例中,該硬罩 幕層308可藉由提供流速為20至200 seem的四氟化碳 (CF4),流速為 20 至 200 seem 的氬氣(Ar)(即,CF4 ·· Ar 流 量比範圍從1: 10至10: 1),施加介於200至1500瓦間 的功率至一感應耦合天線’施加介於2 0和1 5 0瓦間的陰極 (cathode bias)偏壓’以及在該製程處理室的壓力介於2和 2〇毫托耳下將晶圓溫度保持在5〇和200。(:間來蝕刻。一種 製程配方提供流速120 seem的CF4,流速120 seem的氬 氣(P CF4· Ar流量比約1· 1),施加360瓦的功率至該 < S ) 15 1363105
天線,60瓦的偏壓,將晶圓溫度保持在 保持在4毫托耳。 在該硬罩幕層308由一種碳系材料 中,該硬罩幕層308可藉由提供流速為 溴化氫(ΗBr),流速為1 0至40 sccm的| 〇2流量比範圍從1 : 2至2 0 : 1),以及流 的氬氣(Ar),施加介於200至1500瓦間 合天線,施加介於5 0和2 0 0瓦間的陰極 程處理室的壓力介於2和20毫托耳下 5 0和2 0 0 °C間來蝕刻。一種製程配方提 HBr,流速26 seem的氧氣(即,HBr: 〇2 以及流速60 seem的氬氣,施加600瓦 6 0瓦的偏壓,將晶圓溫度保持在8 0 °C, 4毫托耳。 在選擇性步驟220,將該光阻罩幕 308上移除,如第3E圖所示般。在一實 幕310可利用步驟218的該硬罩幕層 除。在另一實施例中,該光阻罩幕3 1 0 蝕刻步驟218的該第二製程處理室112 可在該硬罩幕蝕刻處理室内藉由暴露在 移除光阻罩幕310。在另一實施例中, 在配置做為去光阻處理室且提供含氧電 室116内移除,該電漿可在該製程處理 電漿源提供。 80°C,並且將壓力 形成的另一實施例 20 至 2 0 0 seem 的 L 氣(〇2)(即,HBr : 為 20 至 200 seem 的功率至一感應_ 偏壓,以及在該製 將晶圓溫度保持在 供流速60 seem的 流量比約2 · 3 : 1), 的功率至該天線, 並且將壓力保持在 3 1 0從該硬罩幕層 施例中,該光阻罩 3 0 8的蝕刻同步移 可在執行該硬罩幕 内原位移除。例如, 一含氧電漿下原位 該光阻罩幕310可 漿之第四製程處理 室内形成或由遠端 16 1363105 或者可將該光阻罩幕310留在該薄膜堆疊300上。該 光阻罩幕3 1 0最终會在隨後的蝕刻製程期間消耗掉,或者 是在如下所述之步驟226與該圖案化硬罩幕層308 —起移 除。
在步驟222,從該第二製程處理室112將該基材122 傳送至該第三製程處理室114,其中在步驟224利用該圖 案化的硬罩幕層308做為蝕刻罩幕來蝕刻該鉻層304。第 3F圖示出具有已蝕刻鉻層3 04之薄膜堆疊3 00。在一實施 例中,該蝕刻步驟224使用由通入該製程處理室114的一 或多種含鹵素的製程氣體所形成的電漿。範例製程氣體可 包含一或多種如氟碳氣體、氯氣、漠化氫、氯化氫、四敗 化碳和三氟甲烷等氣體。該製程氣體也可包含氧氣。該製 程氣體可進一步包含惰性氣體,例如氦氣、氬氣、氙氣、 氖氣、及氪氣。
在另一實施例中,該鉻層3 04在步驟224蝕刻,藉由 提供流速為每分鐘2至50標準立方公分(seem)的CF4,以 及流速為10至50 seem的CHF3(三氟甲烷)。一種具體製 程配方提供流速為 9 seem 的 CF4,流速為 26 seem的 CHF3。該製程處理室内的壓力控制在低於約40毫托耳, 並且在一實施例中,介於約1.5和約1 5毫托耳間。 在該鉻蝕刻步驟2 2 4的另一實施例中,施加低於約6 0 0 瓦的脈衝偏壓功率至該支撐台座124以偏壓該基材122。 在一第一範例中,該基材1 2 2係以低於約1 5 0瓦的脈衝RF 功率加以偏壓,而在一第二範例中,該基材1 2 2係以約1 0 17 1363105
瓦的脈衝RF偏壓功率加以偏壓。該偏壓可以上述頻率及 工作週期產生脈衝,例如,以範圍約1至約1 〇 k Η z範圍内 的頻率,以及介於約1 0 %至約9 5 %的工作週期。該脈衝偏 壓可以是直流及/或 RF。在另一實施例中,該偏壓來源 140提供介於約10至約150瓦的脈衝RF功率,其頻率介 於約2至約5 kHz,具有介於約80%至約95%的工作週期。 在又另一實施例中,該偏壓來源提供約1 0瓦的脈衝RF功 率。 在步驟224期間,由該等製程氣體形成的電漿係藉由 從該電漿電源施加介於約250至約600瓦的RF功率至該 天線來維持之。預期到該電漿可由任何的方法來點燃。 在該基材122上透過該圖案化硬罩幕層3 08而暴露出 來之鉻層3 04係經蝕刻直到達到終點為止。該終點可由時 間、光學干擾儀、處理室氣體放射光譜或其他適合方法來 判定。
在步驟226,移除該硬罩幕層308以形成一光罩320。 在一實施例中,該硬罩幕層308可利用步驟242之該鉻層 3 04的蝕刻同步移除。例如,由SiON製出的硬罩幕層308 會以接近該絡層蝕刻速的速率被蝕刻。因此,藉由將該 SiON硬罩幕層沉積至等於或稍微大於該鉻層厚度的厚 度,基本上所有的SiON硬罩幕層都會在蝕刻該鉻層時除 去。可能需要一小段過蝕刻時間以清除殘餘的硬罩幕層 308 ° 在另一實施例中,該硬罩幕層3 0 8可在執行該蝕刻步
18 1363105
驟22 4的第三製程處理室114内原位移 材料所製成的硬罩幕層308可在該鉻層 第三處理室114中原位暴露在一含氧電 在另一實施例中,該硬罩幕層308 之後在該第四製程處理室116内移除。 第四製程處理室116可配置為提供一含 室,電漿可在該製程處理室内形成或由-在具有一碳硬罩幕層308的實施例 可利用含氧電漿從該薄膜堆疊3 00上移 一實施例中,α -碳硬罩幕層3 0 8係利用 成的電漿來移除。HBr : 〇2流量比範圍 10: 1。氬氣也可存在於該電漿中。在另 漿係以2 0 0至1 0 0 0瓦的功率來源,以及 加以能量化,同時該基材的溫度保持在 間。或者,可用由氫氣(H2)或一由氧氣々 氣)構成之的混合物所形成的電漿來除< 幕層308。 在該硬罩幕移除步驟226後,該基 成該光罩320)在步驟230透過該負載鎖 工廠介面102。在該工廠介面102,該基 被載入該等FOUP 108的其中一個,或 中進入該量測模組1 2 6中。 在該量測模組126中,可測量該光 CD。可用CD測量資料做為反饋來為光 除。例如,由一竣 蝕刻之後藉由在該 漿中而移除。 可在傳送步驟228 在此實施例中,該 氧電漿的剝除處理 -遠端電漿源提供。 中,該硬罩幕層308 除(或剝除)。在另 由漠化氫和氧氣形 可介於約1 : 10至 一實施例中,該電 0至300瓦的偏壓 約20至約80°C之 h稀釋氣體(例如氬 fe·該α -碳薄膜硬罩 材122(現在已被製 定室106傳送至該 .材122在步驟234 在選擇性步驟232 罩320的關鍵尺寸 罩製程調整接下來 19 1363105 的基材之光阻圖案化,做為前饋以調整使用該光罩320進 行基材製造的蝕刻及/或微影製程,及/或用以控管品質 及/或製程控制等。在該量測步驟232之後,於步驟232 將該基材載入該等FOUP 108之其中一者。
選擇性地,可如同在第4圖的流程圖中所示般進一步 處理該基材122,其示出根據本發明之另一實施例製造如 第3G—J圖所示之相位移光罩340的方法400。可在上述 方法200中所述程序之硬罩幕去光阻步驟222或量測步驟 230之後,開始進行該方法400。 該方法400始於將該基材傳送至該等FOUP 108的步 驟402。在步驟404,將該基材122從該系統100移出,以 使一第二圖案化光阻罩幕320形成在該薄膜堆疊300上, 如第3H圖所示。在步驟406,具有該圖案化光阻罩幕320 配置在其上的基材1 22回到該系統1 00。
選擇性地,可在步驟408中,將具有該圖案化光阻罩 幕320配置在其上的基材122從該FOUP 108傳送至該量 測模組1 2 6。在該量測模組1 2 6内,在步驟41 0測量用以 定義該光阻罩幕3 2 0之結構的尺寸。可如上述般取得及.使 用該光阻罩幕320的CD測量值。 在步驟412,具有該圖案化光阻罩幕320配置在其上 的基材122從該FOUP 108(或量測模組12 6)經由該等負載 鎖定室106之其中一者傳送至該等製程處理室112、114、 116之其中一者。在步驟414,利用該圖案化光阻罩幕320 做為蝕刻罩幕來蝕刻該石英層3 0 2。 < 5 ) 20 1363105 在一實施例中,該蝕刻步驟4 1 4使用從一或多種氟化 製程氣體形成的電漿。範例製程氣體可包含CF4和CHF3 等。該製程氣體可進一步包含一惰性氣體,例如氦氣、氬 氣、氙氣、氖氣、和氪氣。在蝕刻該石英層302期間,施 加至該基材的偏壓可如上述般產生脈衝。
該石英蝕刻步驟4 1 4的终點係經選擇,而使第3 I圖所 示的蝕刻出之石英溝槽322的深度330,約等於意欲與該 石英相位移罩幕並用的預定波長之光線通過該石英層 302 的1 80度相位移的長度。通常波長是193及248奈米。因 此,雖然其他深度也可用在意欲與不同微影光波長並用之 罩幕上,該深度322通常約172或240奈米。在步驟414 蝕刻出該石英溝槽322後,該光阻罩幕320在步驟416移 除,例如,藉由灰化,因此餘留的薄膜堆疊3 0 0形成如第 3J圖所示的石英相位移罩幕320。
在該光阻罩幕移除步驟416後,該基材122(現在已製 成該光罩340)在步驟418透過該負載鎖定室106傳送至該 工廠介面102。在該工廠介面102,該基材122在步驟424 被載入該等FOUP 108的其中一個,或在選擇性步驟230 進入該量測模組1 2 6中。 在該量測模組1 2 6中,可測量該光罩3 4 0的關鍵尺寸 CD。可用CD測量資料做為反饋來為光罩製程調整接下來 的基材之光阻圖案化,做為前饋以調整使用該光罩340進 行基材製造的蝕刻及/或微影製程,及/或用以控管品質 及/或製程控制等等。在該量測步驟420之後,於步驟422 (S ) 21 1363105 將該基材載入該等FOUP 108其中之一。 第5圖示出可用來做為第1圖系統100之第一製程處 理室110的化學氣相沉積處理室500。該處理室500配備 有一處理室主體502,該處理室主體502具有一基材支撐 件518配置在一製程區域512内。
該例示處理室主體5 02通常包含一上蓋5 06,一底部 508及側壁510。一排氣埠546穿通該處理室主體502,並 將該製程區域5 1 2連結至一幫浦系統5 3 0。通常,該排氣 埠546係配置成穿通該處理室主體502底部,但也可設置 在該反應室芏體5 0 2的其他部分内。該幫浦系統5 3 0 —般 包含一節流閥以及真空幫浦(兩者皆未示出),用來排空該 處理室主體5 0 2的内部區域5 1 2,除去沉積副產物及控制 該處理室主體502内的壓力。
一噴頭520與該上蓋506連結,以提供由一配氣盤526 供應至該處理室主體 502之製程區域512的氣體均勻分 佈。在沉積一含碳硬罩幕層的實施例中,該配氣盤526提 供一或多種碳氫化合物或其衍生物,至該製程處理室 500。或者,可使用含氧及/或含氟化合物。該等碳氫化合 物可選擇性地包含氮氣,或與一含氮氣體一同沉積,例如 氨。此外,該碳氫化合物可具有取代基,例如氟和氧。可 使用之一種碳氩化合物或其衍生物之通式為 CaHb〇cFd, 其中A的範圍介於1和24,B的範圍介於0和50,C的範 圍介於0至10,D的範圍介於0至50,而B和D的總合 至少是2。 22 1363105 該喷頭520與一RF電源522及匹配電路524連結。 該電源522 -般能夠產生頻率約5〇 kHz至約丨3MHz 範圍内以及功率上至約10,000瓦之RF訊號。在一實施例 中,該電源522產生頻率約13.56 MHz以及功率約6〇〇瓦 的RF訊號耦合至該噴頭520。
該基枯台座(陰極Π24經由—第二匹配網路142連結 至一偏壓源140。該偏壓源} 4〇提供頻率介於約5〇 kHz至 約100 MHz以及功率介於約0至約1〇 〇〇〇瓦的訊號。由 該來源522供應至該喷頭52〇的該rf能量,係用來促進 該電漿中之氣體的分解和游離,其_般來說促進較低製程 溫度下有較兩沉積速率。一介電隔離器538係經設置在該 處理室主體502之該喷頭52〇和該上蓋5〇6間,以電氣隔 離該RF熱喷頭和該處理室主體5〇2。電漿輔助製程也提供 額外的製程彈性,並提供該系統5〇〇可用於各種類型的沉 積製程的能力。
一清潔劑產生器5 2 8也可經由該噴頭連結至該製程區 域5 1 2。在一實施例中,該清潔劑產生器5 2 8提供一清潔 劑,例如原子氟,其從該處理室零組件上除去不想要的沉 積及其他〉可染物。一種此類產生器可由Azte公司取得。 該基材支撑件518係設置在該處理室主體502的内部 區域512中。該基材支撐件518包含:一光罩接合器5 82, 用以在製程期間留置該基材122、一加熱元件544用來熱 控制基材/皿度,以及一電極59〇用來在製程期間偏壓該基 材。該加熱元件544可以是一電阻加熱器,用以流通一熱 23 < 5 1363105 傳輸流體的一流體導管,一電阻加熱元件或一熱電裝置與 其他溫控裝置。在一實施例中,該加熱元件5 44係一電阻 加熱器,其與一電源548連結並能夠加熱及維持該基材122 在約1 0 0至約5 0 0 °C的溫度,而在一實施例中,該基材温 度係維持在低於約4 5 0 °C。
該電極590通常透過一匹配網路596與一對RF偏壓 源592、594連結。該偏壓源592、594通常能夠產生頻率 從約50 kHz至約27 MHz以及功率介於約0和約1,000瓦 間的RF訊號。該匹配網路596將該偏壓源592、594的阻 抗與該電漿阻抗匹配。單一饋送器598將來自兩偏壓源的 能量耦合至設置在該基材支撐件518内的電極590。或者, 每一個偏壓源5 92、5 94可透過一獨立的饋送器連接至該電 極 590 〇 該光罩接合器582將該基材122留置在該基材支撐件 518上。該光罩接合器582可由鋁或氧化鋁,或是其他適 合材料製成。
第6圖示出光罩接合器582之一實施例的透視圖。該 光罩接合器5 82通常包含一捕捉環602和一覆蓋環604。 該捕捉環 602和該覆蓋環604共同界定出一基材容納區 612 ° 該覆蓋環604通常覆蓋並保護該基材支撐件518上表 面不受到沉積。該覆蓋環6 0 4包含複數個孔洞6 2 0,其容 許舉升捎608選擇性地自其間延伸而出,從而將該捕捉環 6 02從該覆蓋環604上舉起。該覆蓋環604具有一升起的 24 1363105 校直特徵610係用來供該基材容納區6i2的邊界,並且 作為在該捕捉環位於較低位置時與該捕捉環6〇2唾合的校 直特徵。 該捕捉環602包含一通常是,,C形”的拱形基板6〇6, 其擁有界疋出一部份該基材容納區612的内缘614。一或 多個基材支撐件’例如支撐突出部6丨6、6丨8,係經配置在 該内緣614上。該等基材支擇突出部616、618使該捕捉環 6 02在被該等舉升捎608舉起時可將該基材122從該覆蓋 環604上舉起。該”c形”基板600的開玫端622有助於一 機械臂(未示出)的葉片與該升起的捕捉環6〇2進行交遞基 材 122。 回到第5圖,該基材支撐件518與—舉升機構552連 結,其控制該基材支撐件518相對於該噴頭52〇的高度。 可藉由該舉升機構5 52降低該基材支撐件518,以助於透 過=置在該處理室主體502的側壁51〇内的基材進出埠(未 π山)來傳送基材。反之,該基材支撐件518可朝該喷頭 520上升’以在該基材122和該噴頭52〇間設置一縫隙(或 間隔)。摺箱550係連結在該舉升機構552和該處理室底部 5 08之間以防止真空洩露。 操作時,該基材122係設置在該製程處理室5〇〇内該 基材支樓件518上。一製程氣體係從該配氣盤似經由該 喷頭52〇通入該處理室主體502。在一實施例中,該rf 來源以13·56 MHz提供約6〇〇瓦的rf電壓至該噴頭 520,藉此激發該反應室主體502内的氣體並形成電漿 < 5 ) 25 1363105
5 98。該RF偽壓來源592係經選擇以產生頻率約2 MHz 的功率,而該RF偏麇來源5 94係經選擇以產生頻率約 1 3.5 6 MHz的功率。該等RF偏壓來源592、594以預定功 率比值提供高至約1,〇00瓦特的總功率,該偏壓來源592 對於該偏壓來源5 9 4的功率比係介於1 : 0和〇 : 1間。這 些RF偏壓來源592、5 94提供偏壓,均自偏壓該基材並且 調變該電漿勒。調整該等電漿來源5 9 2、5 9 4間的比例控制 該電漿的特性,以便操縱所沉積之薄膜的特性。例如’一 SiON或含碳硬罩幕層可利用降低該沉積膜的應力的方式 來沉積,因此改善該硬罩幕薄臈和下方層(例如一含鉻層) 之間的附著。可從雙頻偏壓應用而受益的含碳硬罩幕層沉 積製程在2005年2月24號提出申請之美國專利申請案第 1 1/0 65,464號中描述,其在此藉由弓丨用其全文的方式併入 本文中。 在一實施例中,該電極5 9 〇的頻率係經選擇以使其頻 率足夠低’以便在該被供電表面上方的電漿放電中提供強 的自偏壓電漿鞘。該第一頻率提供廣的離子能量分佈(即較 低頻率)。該第二頻率提供具峰值的界限清楚的離子能量 分佈(即較高頻率)。一般來說,該第一頻率係經選擇以使 其週期時間(cycle time)遠大於離子在該電漿鞘内的通過 時間(transit time),而該第二頻率係經選擇以使其週期接 近或超過離子在該電漿鞘内的通過時間。這些頻率也經選 擇以在與一獨立驅動電極(例如該噴頭52〇)提供的第三電 源並用時,其不會是電漿游離和分解的主要功率提供器。 c S ) 26 1363105
該兩個頻率來源的合併施加電壓係用來控制峰值 值電楽·鞘’電壓(peak-to-peak sheath voltage)以及該自 DC電位,該自偏壓DC電位係用來驅動該沉積製程。 個頻率的混合係用來調整與此DC電位所產生之平均 有關的能量分佈。因此,使用如上所述之具有雙頻陰 電漿輔助製程處理室,該電漿内的離子能量分佈可受 制,而得以藉由調整該偏壓頻率來操縱薄膜特性(例如 和附著度)。 第7圖示出適於做為該等製程處理室112、114、 之一的蝕刻反應器700之一實施例示意圖。適於與本 所揭示之教示内容並用的適合反應器包含,例如,去 電漿源(DPS®) Π反應器,或是Tetra I和丁etra Π光罩 系統,全部都可從加州聖塔克拉拉的應用材料公司 (Applied Materials, Inc. of Santa Clara, California) ° 所示的蝕刻反應器700的特定實施例係提供做為例示 而不應被用來限制本發明的範圍。 該蝕刻反應器700 —般包含一製程處理室702, 一基材台座724在一導電主體(壁)704内,以及一控 746。該處理室702具有一實質平坦的介電頂板708。 理室702的其他變化型可具有其他類型的頂板,例如 頂狀頂板。一天線710係設置在該頂板708上。該天線 包含一或多個可選擇性控制的感應線圈元件(第 7圖 兩個同軸元件7 1 Oa和7 1 Ob)。該天線7 1 0經由一第一 網路7 1 4連結至一電漿電源7 1 2。該電漿電源7 1 2 — 對峰 偏壓 該兩 加速 極的 到控 應力 116 文中 耦合 蝕刻 取得 在此 用, 具有 制器 該處 ,圓 7 10 示出 匹配 般能 27 1363105
夠產生可調頻率範圍從約50 kHz至約13.56 MHz以及 約3 000瓦(W)的功率。在一實施例中,該電漿電源7] 供約300至約600瓦的感應耦合RF功率。 該基材台座(陰極(cathode))724透過一第二匹配 742與一偏壓電源740連結。該偏壓來源740提供可 衝頻率範圍約1kHz至約10 kHz之約0至約600瓦 率。該偏壓來源740產生脈衝RF功率輸出。或者, 壓來源7 4 0可產生脈衝D C功率輸出。預期到該來源 也可提供固定的功率輸出。 在一實施例中,該偏壓來源7 4 0係經配置以提供 介於約1kHz至約10 kHz且低於約700瓦的RF功率 有介於約1 0 %至約9 5 %的工作週期。在另一實施例中 偏壓來源7 4 0係經配置以提供介於約2 0至約1 5 0瓦且 介於約2至約5 kHz的RF功率,具有介於約80至約 的工作週期。 在一實施例中,如在DPS® Π反應器中,該基材支 座724可包含一靜電夾盤760。該靜電夾盤760包含 一個夾鉗電極732,並且係由一夾盤電源供應器766控 在其他實施例中,該基材台座724可包含基材留置機 例如承座夾環、機械爽盤、以及諸如此類者。 一配氣盤720與該製程處理室702連結,以提供 氣體及/或其他氣體至該製程處理室702内。在第7 示的實施例中,該配氣盤720與形成在該處理室7 02 704内的通道718中的一或多個進氣口 7 16連結。預 高至 .2提 網路 調脈 的功 該偏 740 頻率 ,具 ,該 頻率 95% 撐台 至少 :制。 構, 製程 圖所 側壁 期到 28 1363105 該一或多個進氣口 716可以提供在其他位置上,例如,在 該製程處理室702的頂板708内。
在一實施例中,該配氣盤7 2 0係適於提供氟化製程氣 體,經由該等進氣口 716進入該製程處理室702内。在製 程期間,透過來自該電漿電源712的功率之感應耦合從該 製程氣體形成電漿並維持之。該電漿或可以遠端形成或用 其他方式點燃。在一實施例中,從該配氣盤7 2 0提供的製 程氣體至少包含CHF3及/或 CF4。其他氟化氣體可包含 C2F、C4F6、C3F8 和 C5F8 之一或多種。 該處理室702内的壓力係利用一節流閥762及一真空 幫浦7 6 4控制。該真空幫浦7 6 4及節流閥7 6 2能夠將處理 室壓力維持在約1至約20毫托耳範圍内。
該側壁704的溫度可利用行經該側壁704之含液體的 導管(未示出)來控制。側壁溫度一般保持在約6 5 °C。一般 來說,該處理室壁7 0 4係由金屬形成(例如鋁、不鏽鋼、及 諸如此類者),並且與一電氣接地706連結。該製程處理室 7 02也包含用於製程控制、内部診斷、終點偵測、及諸如 此類之習知系統。此等系統可综合性地顯示為支持系統 754 ° 使用一光罩接合器782將一基材(例如光罩或其他工 作件)722固定在該基材支撐台座724上。該光罩接合器782 一般包含研磨過的下半部分784,以覆蓋該台座724的上 表面(例如,該靜電夾盤760),以及具有一開口 788的上 半部分786,該開口按尺寸訂製及塑形以容納該基材722。 29 1363105
該開口 788通常實質上位在該台座724的中心。該接 782 —般係由單一件抗蝕、耐高溫材料製成例如聚 胺陶瓷或石英。適合的光罩接合器在2〇〇1年6月26 准的美國專利第6,251,217號中揭示,並在此藉由引 方式併入本文中。一邊緣環726可覆蓋及/或固定該 器782至該台座724 » 一舉升機構738係用來降低或升高該接 7 82(adapter),因此該基材722可落在該基材支撐台居 上或者離開該基材支樓台座724。通常,該舉升機構 包含複數個舉升捎(示出一個舉升捎7 3 〇 ),其穿過各 導引孔736 。 操作時’藉由穩定該基材台座7 2 4的溫度來控制 材722的溫度。在一實施例中,該基材支樓台座724 一加熱器744及一選用性的散熱槽(heat sink)728。該 器7 4 4可以是一或多個流體導管,配置來使一熱傳輸 流經其間。在另一實施例中,該加熱器7 4 4可包含至 個加熱元件734’其係由一加熱器電源供應器768控 選擇性地,經由一氣體導管758從一氣體來源756提 背側氣體(例如氦氣(He))至形成在該基材722下方的 表面内的通道。該背側氣體係用來促進該台座724和 材722間的熱傳輸。在製程期間,該台座724可由該 式加熱器744加熱至一穩定態溫度,其與該氦氣背側 一起’促進該基材722的均勻加熱。 第8圖示出一種當想要一專用於罩幕移除的處 合器 亞醯 號核 用的 接合 合器 :724 738 自的 該基 包含 加熱 流體 少一 制。 供一 台座 該基 嵌入 氣體 理室 30 1363105
時,可用來做為第四製程處理室114的範例灰化反應I 的示意圖。適合的灰化反應器可由應用材料公司取得 下簡短描述一適合的反應器800的顯著特徵。 該反應器800包含一製程處理室802、一遠端電 806、以及一控制器808。該製程處理室802通常是一 容器,其包含一第一部分810及一第二部分812。在 施例中,該第一部分8 1 0包含一基材台座8 0 4 ' —側壁 以及一真空幫浦814。該第二部分812包含一上蓋8】 一氣體配送板(喷頭)820,其界定一氣體混合空間822 反應空間824。該上蓋8 1 8和側壁8 1 6通常由金屬形^ 如鋁(A1)、不鏽鋼、以及諸如此類者),並電氣耦合至 地參考8 6 0。 該基材台座804在該反應空間824内支撐一基;} 圓)826。在一實施例中,該基材台座804可包含一輻 源,例如充氣燈8 2 8,以及嵌入式電阻加熱器8 3 0和 832。該導管832從一來源834透過位於該台座804之 支撐表面内的凹溝(未示出)提供一種氣體(例如氦氣) 晶圓826背側。該氣體促進該支撐台座804和該晶圓 間的熱交換。該晶圓8 2 6的溫度可控制在介於約2 0和 。(:間。 該真空幫浦814銜接至形成於該製程處理室802 壁816内的排氣埠836。該真空幫浦814係用來在該 處理室102内保持預期的氣壓,以及從該處理室排空 後氣體及其他揮發性化合物。在一實施例中,該真空 800 。以 漿源 真空 一實 816 8及 和一 泛(例 一接 才(晶 射熱 導管 晶圓 至該 826 400 之側 製程 製程 幫浦 31 1363105 814包含一節流閥838,以控制該製程處理室802内的氣壓。 該製程處理室 8 02也包含用來留置及鬆脫該晶圓 8 2 6、偵測製程终點、内部診斷、以及諸如此類之習知系统。 此類系統可综合性地繪示為支持系統840。
該遠端電漿源806包含一電源846、一配氣盤844、以 及一遠端電漿處理室842。在一實施例中,該電源846包 含一射頻(RF)產生器848、一調整組件850、以及一應用器 (applicator)85 2。該RF產生器848能夠產生約200至5000 瓦、頻率約200至700 kHz的功率。該應用器852感應耦 合至該遠端電漿處理室 842,並在該處理室内能量化一製 程氣體(或氣體混合物)8 6 4成為電漿8 6 2。在此實施例中, 該遠端電漿處理室 842 具有超環面幾何結構(toroidal geometry),其限制該電聚並促進自由基物種的有效產生, 並且降低該電漿的電子溫度。在其他實施例中,該遠端電 漿源可以是微波電漿源,但是,利用感應耦合電漿的去光 阻速率通常較高。
該配氣盤844利用導管866來輸送該製程氣體864至 該遠端電漿處理室842。該配氣盤844(或導管866)包含例 如質流控制器及斷流閥等工具(未示出),以控制供應至該 處理室842的每一種氣體之氣壓及流速。在該電漿862中, 該製程氣體864經游離並分解而形成反應性物種。 該等反應性物種係透過該上蓋8 1 8内的進氣埠8 6 8進 入該混合空間8 2 2。為了將電荷累積電漿對該晶圓8 2 6上 的元件的傷害降至最低,該製程氣體864的離子物種基本 32 1363105 上會在該氣體透過該噴頭820的複數個開口 870抵達該反 應空間824之前,先在該混合空間822内中和掉。 雖然前述内容係針對本發明的例示實施例,但可在不 背離本發明基本範圍下設計出其他及更進一步的實施例, 並且其範圍係由如下申請專利範圍決定。 【圖式簡單說明】
本發明之教示可藉由考量如上詳細說明連同附圖而更 容易了解,其中: 第1圖示出適於執行製造光罩製造方法之一實施例的 整合式半導體基材處理系統(例如集結式機台)的實施例示 意圖; 第2圖示出根據本發明一實施例之製造光罩製造方法 的流程圖實施例; 第3A—3G圖示出根據第2圖的方法將製造一的薄膜 堆疊製造成光罩的一系列部分剖面示意圖;
第3H—3J圖示出根據第4圖的方法將製造一薄膜堆 疊製造成光罩之一系列部分剖面示意圖; 第4圖示出另一種製造光罩的方法之流程圖實施例; 第5圖示出可與第1圖的系統並用之化學氣相沉積處 理室之一實施例的簡要剖面圖; 第6圖係第5圖之化學氣相沉積處理室之基材支撐件 及光罩接合器(reticle adapter)的透視圖; 第7圖示出可與第1圖的系統並用的蝕刻反應器之一 實施例的簡要剖面圖;以及 (5 ) 33 1363105 第8圖示出可與第1圖的系統並用的灰化反應器之一 實施例的簡要剖面圖。 為了促進了解,在可能時使用同樣的元件符號來表示 該等圖示共有的相同元件。預期到一實施例的元件及特徵 可在不需要任何詳述的情況下有利地併入其他實施例中。
但是需注意的是,該等附圖僅示出本發明之例示實施 例,因此不應認為是對其範圍的限制,因為本發明可容許 其他等效實施例。 【主要元件符號說明】 100 系統 102 工廠介面 104 傳送室 106 負載鎖定室 108 FOUP 110 第一製程處 理室 112 第二製程處理 室 114 第三製程處理室 116 第四製程處理 室 122、 722 ' 826 基材 126 量測模組 130 真空機械臂 132 FI機械臂 140 ' 746 、 808 控制 142 CPU 144 記憶體 146 支持電路 200 方法
202、210、214、220、226、228、402、408、412、418 傳 送步驟 204 硬罩幕沉積步驟 206、404 移除步驟 208、406 返回步驟 216 硬罩幕蝕刻步驟 212、230、410、422 量測步驟 218 去光阻步驟 222 鉻蝕刻步驟 34 1363105 224 硬罩幕移除步驟 232 ' 424 FOUP 傳: 300 薄膜堆疊 302 石英層 304 鉻層 306 衰減層 308 硬罩幕層 3 10 光阻罩幕 320 第二光阻罩幕 322 溝槽 330 深度 340 相位移罩幕 400 方法 414 石英蝕刻步驟 416 光阻移除步驟 500 處理室 502 處理室主體 506 ' 818 上蓋 508 底部 5 10 側壁 5 12 内部區域 5 18 基材支撐件 520 噴頭 522 RF電源 524 ' 596 匹配電路 526、 720 、 844 I己 | 528 清潔劑產生器 530 幫浦系統 538 介電隔離器 544、 734 加熱元件 546、 83 6 排氣埠 548、 846 電源 550 摺箱 552 舉升機構 582 光罩接合器 590 電極 592 ' 594 RF來源 598、 862 電漿 602 捕捉環 604 覆蓋環 606 拱形基板 608 舉升捎 610 校直特徵 612 基材容納區 614 内緣 616、 618 突出部 620 孔洞 622 開放端 35 1363105
700 蝕刻反應器 702、 802 製程處理室 704 導電主體(壁) 706 電氣接地 708 頂板 710 > 710a、710b 天線 712、 806 電漿電源 714 第一匹配網路 7 16 進氣口 7 18 通道 724、 804 基材台座 726 邊緣環 728 加熱槽 730 舉升捎 732 夾鉗電極 736 導引孔 738 舉升機構 740 偏壓電源 742 第二匹配網路 744 加熱器 754、 840 支持系統 756 氣體來源 758 氣體導管 760 靜電夾盤 762 、8 3 8節流閥 764、 8 14 真空幫浦 766 夾盤電源供應器 768 加熱器電源供應器 782 光罩接合器 784 下半部分 786 上半部分 7 8 8 ' 870 開口 800 灰化反應器 810 第一部分 812 第二部分 816 側壁 820 氣體配送板 822 氣體混合空間 824 反應空間 828 充氣燈 830 電阻加熱器 860 接地參考 8 32、 866 導管 834 來源 842 電漿處理室 848 射頻(RF)產生器 850 調整組件 852 應用器 36 1363105 864製程氣體 868進氣璋
S ) 37

Claims (1)

1363105 第卜號專苕案年f月歧二 十、申請專利範圍: 1. 一種化學氣相沉積(CVD)處理室, 一室主體; 一基材支撐件,設置在該室主體的内部空間中; 一光罩接合器,設置在該基材支撐件上; 一電極,嵌入在該基材支撐件中; 一第一 RF電源,與該電極連結; 一第二RF電源,與該電極連結;
年月 ΗΪ修(更)正本 100- R - 4___ 其至少包含: 一喷頭,設置在該室主體的内部空間中;以及 一第三RF電源,與該喷頭連結。 2.如申請專利範圍第1項所述之化學氣相沉積處理室,其 中上述之光罩接合器更包含: 一覆蓋環,設置在該基材支撐件上;以及 一捕捉環,設置在該覆蓋環上,並與該覆蓋環共同界 定出一基材容納區(substrate receiving pocket)。
3 .如申請專利範圍第1項所述之化學氣相沉積處理室,更 包含: 一匹配電路,與該第一和第二RF電源連結;以及 一單一饋送器,將該匹配電路連接至該第一電極。 4.如申請專利範圍第1項所述之化學氣相沉積處理室,其 38 1363105 中供應至該喷頭的功率係大於供應至該電極的功率。 5.如申請專利範圍第1項所述之化學氣相沉積處理室,其 中上述之第一 RF電源係適於提供比該第二RF電源高的頻 率。 6. —種化學氣相沉積(CVD)處理室,其至少包含: 一室主體;
一喷頭,設置在該室主體的内部空間中; 一基材支撐件,設置在該室主體的内部空間中; 一電極,嵌入在該基材支撐件中; 一第一 RF源,用以提供透過一匹配電路耦合至該電 極的第一 RF訊號; 一第二RF源,用以提供透過該匹配電路耦合至該電 極的第二RF訊號;
一第三RF源,與該喷頭連結;以及 一光罩接合器,設置在該基材支撐件上。 7.如申請專利範圍第6項所述之化學氣相沉積處理室,其 中上述之光罩接合器更包含: 一覆蓋環,設置在該基材支撐件上;以及 一捕捉環,設置在該覆蓋環上,並與該覆蓋環共同界 定出一基材容納區。 39 1363105 8.如申請專利範圍第6項所述之化學氣相沉積處理室,更 包含: 一單一饋送器,其將該第一及第二RF源的匹配電路 連接至該第一電極。 9. 一種沉積一硬罩幕層的方法,其至少包含:
在設置於一化學氣相沉積處理室内的一基材支撐件上 所設置的一光罩接合器上提供一基材,其中該基材包含一 石英層及一鉻層; 將一種硬罩幕前驅物氣體通入該化學氣相沉積處理室 内; 供應一第一 RF訊號至一設置在該基材支撐件内的電 極;
供應一第二RF訊號至設置在該基材支撐件内的該電 極,其中該第一及第二RF訊號具有不同頻率;以及 在該基材上沉積一硬罩幕層。 10. 如申請專利範圍第9項所述之方法,更包含: 耦合一第三RF訊號至設置在該基材支撐件上方的一 喷頭。 11. 如申請專利範圍第10項所述之方法,其中上述之第一 40 1363105
及第二RF訊號之合併功率小於該第三RF訊號的功率。 12.如申請專利範圍第11項所述之方法,其中上述之第三 RF訊號將電漿保持在該基材支撐件和該噴頭之間。 41
TW095127380A 2005-07-29 2006-07-26 Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same TWI363105B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/192,997 US20070031609A1 (en) 2005-07-29 2005-07-29 Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same

Publications (2)

Publication Number Publication Date
TW200716784A TW200716784A (en) 2007-05-01
TWI363105B true TWI363105B (en) 2012-05-01

Family

ID=37198983

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095127380A TWI363105B (en) 2005-07-29 2006-07-26 Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same

Country Status (6)

Country Link
US (2) US20070031609A1 (zh)
EP (1) EP1749901A3 (zh)
JP (1) JP5449642B2 (zh)
KR (1) KR101114131B1 (zh)
CN (1) CN1912178B (zh)
TW (1) TWI363105B (zh)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
KR100978886B1 (ko) 2007-02-13 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
CN101675505B (zh) * 2007-05-03 2012-11-21 朗姆研究公司 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US9006001B2 (en) * 2007-12-24 2015-04-14 Texas Instruments Incorporated Simple scatterometry structure for Si recess etch control
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20110042053A (ko) * 2008-06-11 2011-04-22 솔라 임플란트 테크놀로지스 아이엔씨. 주입을 이용한 솔라 셀-선택 에미터의 형성 및 어닐링 방법
WO2010060646A1 (de) 2008-11-28 2010-06-03 Volker Probst Verfahren zum herstellen von halbleiterschichten bzw. von mit elementarem selen und/oder schwefel behandelten beschichteten substraten, insbesondere flächigen substraten
ES2581378T3 (es) 2008-06-20 2016-09-05 Volker Probst Dispositivo de procesamiento y procedimiento para procesar productos de procesamiento apilados
WO2010033713A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Large batch production of thin photovoltaic modules
WO2010033712A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Electrode system for large batch production of thin photovoltaic modules
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20130330848A1 (en) * 2011-02-25 2013-12-12 Kazuharu Minato Observation device, inspection device, method for manufacturing semiconductor device, and substrate support member
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9159630B1 (en) * 2014-07-14 2015-10-13 Globalfoundries Inc. Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
CN105655279A (zh) * 2014-11-14 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 承载装置及半导体加工设备
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) * 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
CN104979281A (zh) * 2015-05-25 2015-10-14 上海华力微电子有限公司 一种接触孔形成方法
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
TWI775839B (zh) * 2017-04-20 2022-09-01 美商微材料有限責任公司 具有選擇性阻隔層的結構
TWI800505B (zh) * 2017-04-24 2023-05-01 美商應用材料股份有限公司 對電漿反應器的電極施加功率
KR102535916B1 (ko) * 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN117524848A (zh) * 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
KR102433947B1 (ko) * 2017-09-29 2022-08-18 도쿄엘렉트론가부시키가이샤 유체로 기판을 코팅하기 위한 방법 및 시스템
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
WO2020006379A1 (en) * 2018-06-30 2020-01-02 Applied Materials, Inc. Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
GB2584160A (en) * 2019-05-24 2020-11-25 Edwards Ltd Vacuum assembly and vacuum pump with an axial through passage
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11495436B2 (en) * 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
CN114717655B (zh) * 2022-04-21 2023-04-07 哈尔滨工业大学 一种用于钻石定制图案和电极的晶体内部图形化方法

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4579648A (en) * 1984-09-24 1986-04-01 Exxon Research And Engineering Co. Catalytic reforming process
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5471564A (en) * 1992-07-10 1995-11-28 Microsoft Corporation System and method for dynamic printer timeout
JP2512540Y2 (ja) * 1992-09-11 1996-10-02 しげる工業株式会社 ア―ムレスト付きコンソ―ルボックス
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH08339992A (ja) * 1995-06-13 1996-12-24 Toshiba Corp 薄膜形成装置および薄膜形成方法
JP3949186B2 (ja) * 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
KR100525961B1 (ko) 1996-11-04 2005-12-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마시스에서발생하는고주파를필터링하는플라즈마처리장치및방법
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US5959325A (en) * 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6546405B2 (en) 1997-10-23 2003-04-08 Microsoft Corporation Annotating temporally-dimensioned multimedia content
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000138201A (ja) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP3976981B2 (ja) * 2000-03-30 2007-09-19 キヤノン株式会社 露光装置、ガス置換方法、デバイス製造方法
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6583572B2 (en) * 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
JP3814176B2 (ja) * 2001-10-02 2006-08-23 キヤノンアネルバ株式会社 プラズマ処理装置
WO2003036703A1 (en) * 2001-10-22 2003-05-01 Unaxis Usa, Inc. Process and apparatus for etching of thin, damage sensitive layers using high frequency pulsed plasma
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6889339B1 (en) * 2002-01-30 2005-05-03 Verizon Serivces Corp. Automated DSL network testing software tool
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
JP4451097B2 (ja) * 2002-10-17 2010-04-14 東京エレクトロン株式会社 成膜方法
JP2004158793A (ja) * 2002-11-08 2004-06-03 Tokyo Electron Ltd 絶縁膜の形成方法及び絶縁膜の形成装置
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
KR101161450B1 (ko) * 2003-04-09 2012-07-20 호야 가부시키가이샤 포토 마스크의 제조방법 및 포토 마스크 블랭크
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) * 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Also Published As

Publication number Publication date
CN1912178A (zh) 2007-02-14
TW200716784A (en) 2007-05-01
JP2007084919A (ja) 2007-04-05
US7658969B2 (en) 2010-02-09
JP5449642B2 (ja) 2014-03-19
US20070119373A1 (en) 2007-05-31
US20070031609A1 (en) 2007-02-08
KR101114131B1 (ko) 2012-03-13
KR20070015031A (ko) 2007-02-01
EP1749901A2 (en) 2007-02-07
CN1912178B (zh) 2015-01-28
EP1749901A3 (en) 2008-08-06

Similar Documents

Publication Publication Date Title
TWI363105B (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR101196617B1 (ko) 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
JP2006215552A5 (zh)

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees