KR102070350B1 - 헤테로원자-도핑된 탄소 막들의 증착 - Google Patents

헤테로원자-도핑된 탄소 막들의 증착 Download PDF

Info

Publication number
KR102070350B1
KR102070350B1 KR1020167021520A KR20167021520A KR102070350B1 KR 102070350 B1 KR102070350 B1 KR 102070350B1 KR 1020167021520 A KR1020167021520 A KR 1020167021520A KR 20167021520 A KR20167021520 A KR 20167021520A KR 102070350 B1 KR102070350 B1 KR 102070350B1
Authority
KR
South Korea
Prior art keywords
heteroatom
carbon
carbon precursor
layer
doped carbon
Prior art date
Application number
KR1020167021520A
Other languages
English (en)
Other versions
KR20160110421A (ko
Inventor
프라밋 만나
아브히지트 바수 말릭
무쿤드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160110421A publication Critical patent/KR20160110421A/ko
Application granted granted Critical
Publication of KR102070350B1 publication Critical patent/KR102070350B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

용이하게 제거가능한 헤테로원자-도핑된 탄소-함유 층들이 증착된다. 탄소-함유 층들은 하드마스크들로서 사용될 수 있다. 헤테로원자-도핑된 탄소-함유 하드마스크들은 높은 에칭 선택성 및 밀도, 및 또한 낮은 압축 응력을 지니며, 이것이 웨이퍼 보우에 있는 문제점들을 감소시키거나 없앨 것이다. 하드마스크 내로 도입되는 헤테로원자들은 황, 인, 질소, 산소 및 불소를 포함하며, 이들 모두는 일반적으로 사용되는 에칭제들에 대해 낮은 반응성을 갖는다. 황이 헤테로원자로서 사용되는 경우, 하드마스크가 용이하게 제거되며, 이는 NAND 디바이스들, DRAM 디바이스들, 및 그 밖의 디바이스들의 제작을 단순화시킨다.

Description

헤테로원자-도핑된 탄소 막들의 증착 {DEPOSITION OF HETEROATOM-DOPED CARBON FILMS}
본 발명의 구체예들은 일반적으로 반도체 디바이스를 제작하는 방법 및 장치에 관한 것이다.
하드마스크들은 NAND 및 동적 랜덤 액세스 메모리(dynamic random access memory)(DRAM) 디바이스들을 제작하기 위해 사용된다. 하드마스크들은 일반적으로 리소그래피 패턴화(lithographic patterning)에서 희생 층들(sacrificial layers)로서 사용되고, 에칭 공정을 통해 피쳐들(features)의 반도체 디바이스의 층 상으로의 패턴화를 가능하게 한다. 패턴화된 피쳐들은 예를 들어 NAND 및 DRAM 디바이스들을 작동하게 하는 트랜지스터들(transistors) 및 인터커넥트들(interconnects)을 형성할 수 있다.
하드마스크 재료의 중요한 성질들은 에칭 저항성, 압축 응력, 기계적 성질들 및 박리성(removability)이다. 이상적인 하드마스크는 에칭되어야 하는 층(이후, "하부층)에 비해 높은 에칭 저항성을 가짐으로써, 하부층은 에칭 공정으로 에칭되지만, 하드마스크는 에칭되지 않는다. 높은 에칭 저항성은 하드 마스크의 패턴을 효과적으로 하부층에 전달되게 한다. 에칭 저항성은 일반적으로 하드마스크 밀도와 긍정적인 상관관계를 나타낸다. 이상적인 하드마스크는 또한 낮은 압축 응력을 갖는다. 보다 낮은 압축 응력은 하드마스크 증착 후 원치않는 웨이퍼 보우(wafer bow)를 제거한다. 웨이퍼 보우는 추가로 디바이스 제작을 어렵게 할 수 있다. 또한, 이상적인 하드마스크는 강한 기계적 성질들을 갖는다. 예를 들어, 높은 영률(Young's modulus) 또는 경도는 마스크 개방 단계 후 높은 종횡비 구조물들의 라인 벤딩(line bending)을 감소시킬 것이다. 끝으로, 이상적인 하드마스크는 용이하게 제거되어 제작 공정을 단순화시킨다.
현재 하드마스크들은 차세대 NAND 및 DRAM 디바이스들을 개발하는데 불충분하다. NAND 및 DRAM 디바이스들의 피쳐 크기들이 감소함에 따라, 에칭 선택성 및 제거 용이성은 증가되어야 하고 반면에 압축 응력은 감소되어야 한다. 그러나, 에칭 선택성은 압축 응력에서의 증가를 야기하였고, 압축 응력을 감소시키려는 시도들은 에칭 선택성의 감소를 야기하였다. 예를 들어, 높은 C:H 비를 갖는 탄소-함유 하드마스크들은 우수한 에칭 선택성을 나타내지만, 재료들이 매우 높은 압축 응력을 갖는다.
그러므로, 높은 에칭 선택성 및 낮은 압축 응력을 갖는 하드마스크가 당해 필요하다.
요약
본 발명의 구체예들은 하드마스크의 제작 방법, 기판을 가공하는 방법, 및 이러한 요구를 만족시키는 기판 및 그 위의 층들에 관한 것이다. 하드마스크를 제작하는 방법은 적어도 하나의 헤테로원자를 함유하는 탄소 전구체들을 가공 챔버에 도입하고, 플라즈마를 생성시켜 헤테로원자-도핑된 탄소-함유 층을 증착시키는 것을 포함한다.
기판을 가공하는 방법은 헤테로원자-도핑된 탄소-함유 층 위에 패턴화된 층을 형성시키는 것을 포함한다. 이후, 헤테로원자-도핑된 탄소-함유 층이 에칭될 수 있다.
기판 및 그 위의 층들은 유전체 물질을 함유하는 층을 포함한다. 유전체 층 위에는 패턴화된 황- 또는 인-도핑된 탄소-함유 층이 존재한다.
헤테로원자-함유 탄소-함유 하드마스크들은 높은 에칭 선택성 및 밀도, 및 낮은 압축 응력을 갖는다. 헤테로원자로의 탄소-함유 하드마스크 도핑은 하드마스크 밀도를 증가시키면서 압축 응력을 완화시킨다. 황 및/또는 인으로의 탄소-함유 하드마스크 도핑은 에칭 공정에 일반적으로 사용되는 활성 화학종들(active species), 예컨대 F-, Cl-, 및 Br-에 대해 덜 반응성인 하드마스크를 제조함으로써 에칭 선택성을 증가시킨다.
본 발명의 상기 언급된 특징들이 자세히 이해될 수 있도록, 상기에서 간략하게 요약된 본 발명의 보다 특정의 기재가 그 일부가 첨부되는 도면들에 도시되는 구체예들에 대해 언급될 수 있다. 그러나, 첨부되는 도면들은 단지 본 발명의 전형적인 구체예들을 예시하는 것이고, 이에 따라 그것의 범위를 제한하는 것으로 간주되지 않아야 하고, 본 발명에 대해 그 밖의 동등하게 효과적인 구체예들을 허용할 수 있는 것으로 주지되어야 한다.
도 1은 본원에서 기술되는 구체예들을 실시하기에 적합한 가공 챔버의 일 구체예를 도시한 것이다.
도 2a 내지 도 2f는 하부층을 에칭하기 위해 본원에서 기술되는 바와 같은 탄소 층을 사용하기 위한 공정의 일 구체예에 대한 개략적인 측면도들이다.
상세한 설명
본원에서 기술되는 여러 구체예들의 기재들은 예시적인 목적들을 위해 제시되며, 총망하도록 의도되는 것은 아니다. 다수의 변경들 및 변형들이 기재되는 구체예들의 범위 및 사상에서 벗어나지 않으면서 당업자들에게 자명할 것이다. 본원에서 사용되는 용어는 구체예들의 원리들, 실제 적용들 또는 시장에 존재하는 기술들에 비한 기술적 개선점들을 가장 잘 설명하도록, 또는 다른 당업자들이 본원에서 기술되는 구체예들을 이해할 수 있도록 선택되었다.
본원에서 기술되는 구체예들은 일반적으로 헤테로원자, 예컨대 황, 인, 질소, 산소 및/또는 불소로 도핑된 탄소-함유 층을 제공한다. 헤테로원자 -도핑된 탄소-함유 층은 하드마스크로서 또는 다른 적용들에서 사용될 수 있다. 헤테로원자-도핑된 탄소-함유 층이 하드마스크로서 사용되는 경우, 층은 NAND 디바이스들, DRAM 디바이스들 또는 그 밖의 디바이스들을 제작하는데 사용될 수 있다. 본원에서 기술되는 추가의 구체예들은 탄소 및 헤테로원자를 함유하는 전구체들로부터 플라즈마 강화 화학 기상 증착에 의해 층을 증착시킴에 의해서와 같이 헤테로원자-도핑된 탄소-함유 층을 제작하는 방법을 제공한다. 본원에서 기술되는 그 밖의 구체예들은 하드마스크로서 헤테로원자-도핑된 탄소-함유 층을 사용하는 방법인, 하부층을 에칭하는 방법을 포함한다. 헤테로원자-도핑된 탄소-함유 하드마스크들은 높은 에칭 선택성 및 밀도, 및 낮은 압축 응력을 갖는다. 헤테로원자로의 탄소-함유 하드마스크 도핑은 하드마스크 밀도를 증가시키면서 압축 응력을 완화시킨다. 황, 인, 질소, 산소 및/또는 불소로의 탄소-함유 하드마스크 도핑은 에칭 공정에 일반적으로 사용되는 활성 화학종들, 예컨대 F-, Cl-, 및 Br-에 대해 덜 반응성인 하드마스크를 제공함으로써 에칭 선택성을 증가시킨다. 황-도핑된 탄소-함유 하드마스크들은 이러한 하드마스크들이 하드마스크들을 제거하기 위해 일반적으로 사용되는 O2 플라즈마의 존재 하에서 휘발성 CO2 및 SO2를 형성하기 때문에 용이하게 제거가능하다.
도 1은 본 발명의 구체예들을 실시하기 위해 사용될 수 있는 가공 챔버(100)를 도시한 것이다. 가공 챔버는 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc., Santa Clara, Calif)로부터 입수가능한 CENTURA ULTIMA HDP-CVD™ 시스템, PRODUCER APF PECVD™ 시스템, 및 PRODUCER TEOS FSG PECVD™ 시스템과 같은 시스템을 포함하여, 어떠한 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition) (PECVD) 챔버 또는 시스템일 수 있다. 예시적인 PRODUCER® 시스템이 본원에서 참조로 포함되는 1999년 1월 5일자 공고된, 공동 양도된 미국 특허 제5,855,681호에 추가로 기술되어 있다.
가공 챔버(100)는 가공 영역(121)을 둘러싸는 측벽(105), 천장(106) 및 베이스(107)를 갖는다. 기판(120)을 지지하는 기판 받침대(115)는 챔버 어셈블리(100)의 베이스(107)에 탑재된다. 특정 구체예들에서, 기판 받침대(115)는 임베딩된 열 전달 유체 라인들(미도시됨), 또는 임베딩된 열전 디바이스(미도시됨)를 사용함으로써 가열되고/거나 냉각되어 기판(120) 표면 상의 플라즈마 공정 결과들을 개선시킨다. 진공 펌프(135)는 가공 챔버(100) 내 압력을 제어한다. 가스 분배 샤워헤드(110)는 가스 공급기(125)에 연결된 가스 분배 플레넘(plenum)(140)을 포함할 수 있고, 가스 노즐 개구들(142)을 통해 기판(120) 상의 가공 영역(121)과 소통할 수 있다. 전도성 물질(예를 들어, 애노드화 알루미늄 등)로부터 제조된 가스 분배 샤워헤드(110)는 제1 임피던스 매칭 엘레먼트(175) 및 제1 RF 전력 공급원(180)을 사용함으로써 플라즈마 제어 디바이스로서 작용한다. 바이어스 RF 발생기(162)는 RF 바이어스 전력을 임피던스 매칭 엘레먼트(164)를 통해 기판 받침대(115) 및 기판(120)에 인가할 수 있다. 기판 받침대(115)에 인가된 바이어스 전력은 가공 영역(121) 내 이온들을 기판(120)을 향해 가속화시킬 수 있다. 제어기(170)는 임피던스 매칭 엘레먼트들(즉, 175 및 164), RF 전력 공급원들(즉, 180 및 162), 및 플라즈마 공정의 특정 그 밖의 양태들을 제어하도록 구성된다. 특정 구체예들에서, 동적 임피던스 매칭이 주파수 조정에 의해, 및/또는 전방 전력 제공에 의해 기판 받침대(115) 및 가스 분배 샤워헤드(110)에 제공된다.
가스들은 가스 분배 샤워헤드(110) 내 가스 노즐 개구들(142)을 통해, 또는 그 밖의 적합한 수단들에 의해 가공 영역(121)에 도입될 수 있다. 용어 "가스" 및 "가스들"은 다르게 명시되지 않는 한, 상호교환가능하게 사용되며, 하나 이상의 전구체들, 반응물들, 촉매들, 캐리어, 퍼어지(purge), 세정제, 이들의 조합들, 뿐만 아니라 가공 챔버(100)에 도입되는 어떠한 그 밖의 유체를 나타낸다. 용어 "전구체"는 표면으로부터 물질을 제거하거나 증착시키기 위해 반응에 참여하는 어떠한 공정 가스를 나타내는데 사용된다. 가공 영역에 도입될 수 있는 전구체들은 예를 들어 헤테로원자들을 함유하는 탄소 화합물들을 포함한다. 가공 영역(121)에 도입될 수 있는 대표적인 플라즈마-형성 가스들은 아르곤, 질소 및 헬륨을 포함한다.
플라즈마-형성 가스들이 가공 챔버(100)에 도입되는 동안 제1 RF 전력 공급원(180)으로부터 RF 전력을 샤워헤드(110)에 인가함으로써 가공 챔버(100)에서 플라즈마가 생성되고 유지될 수 있다. 탄소 전구체 가스들은 플라즈마의 존재 하에 해리되고 기판(120)의 표면 상에, 또는 기판 상의 증착된 층들 상에 헤테로원자-도핑된 탄소-함유 층을 형성할 수 있다.
헤테로원자-도핑된 탄소-함유 층을 형성하는 증착 방법은 어떠한 순서로 수행될 수 있는 하기 단계들을 포함한다: 적어도 하나의 탄소 전구체가 가공 영역(121)에 도입되는 단계; 적어도 하나의 플라즈마-형성 가스가 가공 영역(121)에 도입되는 단계; 및 가공 챔버(100)의 온도 및 압력 조건들이 조절되고, 플라즈마가 제1 RF 전력 공급원(180)에 의해 생성되는 단계.
가공 영역(121)에 도입되어 헤테로원자-도핑된 탄소-함유 층을 형성할 수 있는 탄소 전구체들은 헤테로원자들을 함유하는 탄소 전구체들 및 헤테로원자들을 함유하지 않는 탄소 전구체들을 포함한다. 본원에서 정의되는 바와 같은, "헤테로원자"는 탄소 또는 수소가 아닌 어떠한 원자를 포함한다. 예를 들어, 헤테로원자는 황, 인, 산소, 질소 또는 불소일 수 있다. 황, 인, 산소, 질소 및 불소는 각각 일반적으로 사용되는 에칭제들의 활성 화학종들, 예컨대 F-, Cl-, 및 Br-과 실질적으로 비반응성이다. 헤테로원자의 선택은 후속 가공 단계에서 차후 사용되도록 의도되는 에칭제를 토대로 할 수 있다. 예를 들어, 반응성 화학종들로서 F-를 갖는 에칭제가 사용되어야 하는 경우, F-와 특별히 낮은 반응성을 지닌 헤테로원자 또는 헤테로원자들의 조합이 에칭제로서 선택될 수 있다. 적어도 하나의 헤테로원자-함유 탄소 전구체는 상이한 헤테로원자들을 지닌 하나 이상의 전구체들 및/또는 동일한 헤테로원자를 지닌 하나 이상의 전구체들을 포함할 수 있다. 예를 들어, 적어도 하나의 헤테로원자-함유 탄소 전구체는 두 개의 특이한 황-함유 탄소 전구체들 및 두 개의 특이한 인-함유 전구체들을 함유할 수 있다. 본원에서 정의되는 바와 같이, "헤테로원자-도핑된 탄소-함유 층"은 탄소를 함유하는 층 및 헤테로원자를 포함하며, 본원에서 기술되는 방법들에 의해 형성되는 층으로 제한되지 않는다.
황-함유 탄소 전구체들은 이황화탄소(CS2), 티오펜, 티올들, 및 알킬 설파이드들을 포함할 수 있다. 대표적인 티올들은 1-프로판티올, 2-프로판티올, 1-데칸티올, 및 1-도데칸티올을 포함한다. 티올들은 또한 티오페놀들일 수 있다. 티오페놀들은 -SH 기가 방향족 고리에 결합되어 있는 분자들을 포함한다. 대표적인 티오페놀들은 2-머캅토페놀, 3-머캅토페놀, 4-머캅토페놀, 4-메톡시티오페놀, 3,4-디메톡시티오페놀, 2-하이드록시티오페놀, 4-(메틸설포닐)티오페놀, 티오크레졸들, 및 4-아미노티오페놀 및 치환된 4-아미노티오페놀들을 포함하는 4-아미노티오페놀들을 포함한다. 알킬 설파이드들은 일반적으로 티오에테르들로서 언급되며, -C-S-C- 기를 갖는 분자들을 포함한다. 대표적인 알킬 설파이들은 디메틸 설파이드, 디에틸 설파이드, 및 디이소프로필 설파이드를 포함한다. 황-함유 전구체들의 탄소 대 황 비들은 약 1:16 내지 약 2:1일 수 있다. 황-함유 전구체들의 탄소 대 수소 비들은 약 1:3 내지 약 1:1일 수 있다.
인-함유 탄소 전구체들은 모노-알킬 포스핀들, 디-알킬 포스핀들, 트리-알킬 포스핀들, 알킬 포스핀 옥사이드들, 및 아릴 포스핀들을 포함한다. 대표적인 알킬 포스핀들은 트리메틸포스핀, 트리옥틸포스핀, 및 트리알릴포스핀을 포함한다. 대표적인 알킬 포스핀 옥사이드들은 트리메틸포스핀 옥사이드, 트리이소프로필포스핀 옥사이드, 및 트리옥틸포스핀 옥사이드를 포함한다. 아릴 포스핀들은 모노-아릴, 디-아릴, 및 트리-아릴 포스핀들을 포함한다. 대표적인 아릴 포스핀들은 페닐포스핀, 디페닐포스핀, 트리페닐포스핀, 트리(p-톨릴)포스핀, 트리(o-톨릴) 포스핀, 트리(m-톨릴)포스핀, 알릴디페닐포스핀, 및 디페닐(2-메톡시페닐)포스핀을 포함한다.
질소-함유 탄소 전구체들은 알킬 아민들, 아릴 아민들, 피리딘, N-메틸피리딘, 비닐피리딘, 아세토니트릴, 및 벤조니트릴을 포함한다. 대표적인 알킬 아민들은 트리메틸아민 및 디메틸 아민을 포함한다. 대표적인 아릴 아민들은 아닐린 및 톨루이딘을 포함한다.
산소-함유 탄소 전구체들은 알데하이드들, 케톤들, 알콜들, 및 에테르들을 포함한다. 대표적인 알데하이드들은 아세트알데하이드 및 벤즈알데하이드를 포함한다. 대표적인 케톤들은 아세톤 및 2-부타논을 포함한다. 대표적인 에테르들은 디에틸 에테르 및 테트라하이드로푸란을 포함한다.
또한, 불소-함유 탄소 전구체들이 헤테로원자-도핑된 탄소-함유 층을 형성하기 위해 사용될 수 있다. 대표적인 불소-함유 탄소 전구체들은 테트라플루오로에틸렌, 헥사플루오로프로필렌 옥사이드, 옥타플루오로사이클로부탄, 및 헥사플루오로-2-부틴을 포함한다.
비헤테로원자-함유(non-heteroatom-containing) 탄소 전구체들이 헤테로원자-함유 탄소 전구체들과 함께 가공 챔버(100)에 도입될 수 있다. 예를 들어, 아세틸렌, 프로필렌, 부타디엔, 이소프렌, 알렌, 프로핀, 및 부틴이 도입될 수 있다. 비헤테로원자-함유 탄소 전구체들은 헤테로원자-도핑된 탄소-함유 층의 탄소 대 헤테로원자 비를 조절하기 위해 사용될 수 있다.
가공 영역(121)에 도입되는 적어도 하나의 플라즈마-형성 가스는 질소, 아르곤, 수소 및/또는 헬륨을 포함할 수 있다. 본원에서 사용되는 바와 같은, 플라즈마-형성 가스는 플라즈마를 생성하고 유지할 수 있는 가스들을 포함한다. 희석 가스들, 예컨대 아르곤 및/또는 헬륨 또한 가공 영역(121) 내로 도입될 수 있다.
증착 동안 가공 조건들은 하기와 같을 수 있다. 가공 챔버(100)의 온도는 25℃ 내지 650℃, 예컨대 약 400℃ 내지 약 650℃로 유지될 수 있다. 가공 챔버(100)의 압력은 약 200 mTorr 내지 약 30 Torr로 유지될 수 있다. 헤테로원자-함유 탄소 전구체 가스들은 약 100 내지 약 10,000 sccm 범위의 유량으로 가공 챔버(100) 내로 도입될 수 있다. 사용되는 경우, 비헤테로원자-함유 탄소 전구체들의 유량은 약 100 내지 약 10,000 sccm의 범위일 수 있다. 플라즈마-형성 가스들은 약 100 sccm 내지 약 10,000 sccm 범위의 유량으로 가공 챔버 내로 도입될 수 있다. 사용되는 경우, 희석 가스들의 유량은 약 100 sccm 내지 약 1000 sccm의 범위일 수 있다. 제1 RF 전력 공급원(180)으로부터 가스 분배 샤워헤드(110)로 약 100W 내지 약 2000W의 RF 전력을 인가함으로써 플라즈마가 생성될 수 있다. 예를 들어, RF 전력은 약 1000W 내지 약 2000W일 수 있다. 형성되는 헤테로원자-도핑된 탄소 층은 비정질일 수 있다.
황-도핑된 탄소-함유 층 증착의 대표적인 예에서, 이황화탄소가 500 sccm의 유량으로 가공 챔버(100) 내로 도입된다. 가공 챔버(100) 내로 도입되는 플라즈마-형성 가스들은 100 sccm의 유량으로 도입되는 아르곤을 포함한다. 가공 챔버(100)의 온도 및 압력은 각각 450℃ 및 3 Torr이다. 1800 W의 RF 전력이 제1 RF 전력 공급원(180)으로부터 가스 분배 샤워헤드(110)로 인가된다. 증착은 10 초 동안 수행되고, 형성되는 황-도핑된 탄소-함유 층은 약 100 Å의 두께를 갖는다. 이황화탄소가 사용될 경우, 형성되는 층은 C-H 결합들을 함유하지 않을 것이다.
황-도핑된 탄소-함유 층 증착의 두번째 대표적인 예에서, 티오펜이 각각 500 sccm의 유량으로 가공 챔버(100) 내로 도입된다. 가공 챔버(100) 내로 도입되는 플라즈마-형성 가스들은 500 sccm의 유량으로 도입되는 헬륨을 포함한다. 가공 챔버(100)의 온도 및 압력은 각각 650℃ 및 1 Torr이다. 1000 W의 RF 전력이 제1 RF 전력 공급원(180)으로부터 가스 분배 샤워헤드(110)로 인가된다. 증착은 60 초 동안 수행되고, 형성되는 황-도핑된 탄소-함유 층은 약 1500 Å의 두께를 갖는다.
각 헤테로원자-도핑된 탄소 층의 C:H 비는 헤테로원자 함유 탄소 전구체의 C:H 비에 의해 및/또는 비헤테로원자-함유 탄소 전구체들을 포함함에 의해 조절될 수 있다. 임의로, 증착된 헤테로원자-도핑된 탄소-함유 층은 C-H 결합들의 농도를 감소시키도록 구성된 방법에 의해 후처리될 수 있다. 적합한 후처리 기술들은 UV 처리, 열적 어닐링(thermal annealing), 마이크로파, e-빔 및 레이저 어닐링을 포함한다.
도 2a 내지 도 2f는 하부층을 에칭하기 위한 하드마스크로서 헤테로원자-도핑된 탄소 층을 사용하기 위한 공정의 일 구체예의 개략적인 측면도들이다. 도 2a는 스택(stack)(200)의 개략도이다. 본원에서 사용되는 바와 같은 "스택"은 기판(201) 및 그 위의 하나 이상의 층들을 포함한다. 스택(200)은 어떠한 수의 층들을 가질 수 있으나, 두 층만 도시되어 있다. 하드마스크 층(220)은 하부층(210) 위에 배치된 헤테로원자-도핑된 탄소-함유 하드마스크 층이다. 하드마스크 층(220)은 본원에서 기술되는 방법들에 따라 증착될 수 있다. 도시된 바와 같이, 하부층(210)은 하드마스크 층(220)의 증착 전 스택(200)의 최상 층이다. 하드마스크 층(220)은 약 2000 Å 내지 약 20,000 Å 범위의 두께를 가질 수 있다.
하부층(210)은 기판, 기판 상에 증착된 층, 또는 기판 상에 증착된 층 상에 증착된 층일 수 있다. 본원에서 사용되는 바와 같은 "하부층"은 헤테로원자-도핑된 탄소-함유 층 아래에 있는 어떠한 층을 포함한다. 하부층(210)은 예를 들어, 유전체 층, 예컨대 이산화규소, 질화규소, 비정질 규소, 또는 이들의 혼합물들일 수 있다. "유전체"는 절연 성질들(전형적으로 5 eV보다 더 넓은 에너지 갭)을 나타내는 고체이며, 유전체 최상부 에너지 밴드는 완전히 비어 있다. 하부층(210)은 또한 반도체 물질일 수 있다. 하부층은 패턴화되거나 패턴화되지 않을 수 있다. 기판(201)은 예를 들어, 규소, 규소의 산화물, 알루미늄의 산화물, 갈륨 비소(gallium arsenide), 세라믹, 석영, 금속(예컨대, 알루미늄 또는 구리), 또는 이들의 어떠한 조합일 수 있다.
도 2b에 도시된 바와 같이, 패턴화된 층(230), 예컨대 패턴화된 포토레지스트 층이 하드마스크 층(220) 위에 형성될 수 있다. 패턴화된 층(230)은 하드마스크 층 바로 위에 형성되거나(도시된 바와 같이), 간접적으로 하드마스크 층(220) 위에 형성될 수 있다. 패턴화된 층(230)은 폴리머 물질일 수 있다. 이에 따라, 도 2c에서, 하드마스크 층(220)은 플라즈마 에칭 공정 또는 당해 일반적으로 공지되어 있는 그 밖의 수단들에 의해서와 같이 개방되어 패턴화된 하드마스크 층(220)을 형성한다. 하드마스크 층(220)이 인-도핑된 탄소-함유 하드마스크 층인 경우, 하드마스크 층은 불소 가스들, 예컨대 SF6 및 NF3를 사용함으로써 개방될 수 있다. 이후, 도 2d에서, 패턴화된 층(230)이 당해 일반적으로 공지되어 있는 수단들에 의해 제거될 수 있다.
이어서, 도 2e에 도시된 바와 같이, 하부층(210)이 하드마스크 층(220)을 사용하여 에칭되어 피쳐들을 하부층(210)에 전달한다. 에칭 공정은 통상적인 유전체 에칭 공정일 수 있다. 에칭제는 활성 화학종들로서 F-, Cl-, 또는 Br-를 가질 수 있다. 끝으로, 도 2f에 도시된 바와 같이, 하드마스크가 제거될 수 있다. 하드마스크 제거는 임의적이다. 하드마스크는 통상적인 수단들을 사용하여 산소 플라즈마에 의해 제거될 수 있다. 대안적으로, 하드마스크는 당해 널리 공지되어 있는 그 밖의 수단들에 의해 제거될 수 있다. 헤테로원자-도핑된 탄소-함유 하드마스크가 황을 함유할 경우, 하드마스크가 용이하게 제거될 수 있다. 이론에 의해 제한되지 않아야 하지만, 황 함유 하드마스크의 제거 용이성이 O2 플라즈마와 황 및 탄소-함유 하드마스크의 반응시 휘발성 CO2 및 SO2의 생성과 관련되어 있는 것으로 여겨진다.
상술된 것은 본 발명의 구체예들에 관한 것이지만, 본 발명의 그 밖의 및 추가의 구체예들이 본 발명의 기본 범위에서 벗어나지 않고 개발될 수 있으며, 그 범위는 하기 청구항들에 의해 결정된다.

Claims (15)

  1. 헤테로원자-도핑된 탄소-함유 층을 형성시키는 방법으로서,
    가공 챔버 내로 적어도 하나의 탄소 전구체를 도입하는 단계로서, 적어도 하나의 탄소 전구체는 적어도 하나의 헤테로원자를 함유하고, 적어도 하나의 탄소 전구체는 이황화탄소를 포함하는 단계; 및
    플라즈마를 생성시켜 헤테로원자-도핑된 탄소-함유 층을 증착시키는 단계를 포함하는 방법.
  2. 헤테로원자-도핑된 탄소-함유 층을 형성시키는 방법으로서,
    가공 챔버 내로 적어도 하나의 탄소 전구체를 도입하는 단계로서, 적어도 하나의 탄소 전구체는 적어도 하나의 헤테로원자를 함유하고, 적어도 하나의 탄소 전구체는 티오페놀을 포함하는 단계; 및
    플라즈마를 생성시켜 헤테로원자-도핑된 탄소-함유 층을 증착시키는 단계를 포함하는 방법.
  3. 제2항에 있어서, 티오페놀이 2-머캅토페놀, 3-머캅토페놀, 4-머캅토페놀, 4-메톡시티오페놀, 3,4-디메톡시티오페놀, 2-하이드록시티오페놀, 4-(메틸설포닐)티오페놀, 및 4-아미노티오페놀로 이루어진 군으로부터 선택되는 방법.
  4. 제2항에 있어서, 가공 챔버 내로 적어도 하나의 비헤테로원자-함유(non-heteroatom-containing) 탄소 전구체를 도입하는 단계를 추가로 포함하는 방법.
  5. 제4항에 있어서, 적어도 하나의 비헤테로원자-함유 탄소 전구체가 아세틸렌, 프로필렌, 부타디엔, 이소프렌, 알렌, 프로핀, 및 부틴으로 이루어진 군으로부터 선택되는 방법.
  6. 헤테로원자-도핑된 탄소-함유 층을 형성시키는 방법으로서,
    가공 챔버 내로 적어도 하나의 헤테로원자를 함유하는 적어도 하나의 탄소 전구체를 도입하는 단계로서, 적어도 하나의 탄소 전구체는 모노-알킬 포스핀들, 디-알킬 포스핀들, 트리-알킬 포스핀들, 알킬 포스핀 옥사이드들, 및 아릴 포스핀들로 이루어진 군으로부터 선택된 탄소 전구체를 포함하는 단계; 및
    플라즈마를 생성시켜 헤테로원자-도핑된 탄소-함유 층을 증착시키는 단계로서, 헤테로원자-도핑된 탄소-함유 층이 유전체 물질을 포함하는 최상층을 지닌 스택(stack) 상에 증착되는 방법.
  7. 제6항에 있어서, 적어도 하나의 탄소 전구체는 트리알릴포스핀을 포함하는 방법.
  8. 제6항에 있어서, 적어도 하나의 탄소 전구체는 트리아릴포스핀을 포함하는 방법.
  9. 제8항에 있어서, 적어도 하나의 탄소 전구체가 페닐포스핀, 디페닐포스핀, 트리페닐포스핀, 트리(p-톨릴)포스핀, 트리(o-톨릴) 포스핀, 트리(m-톨릴)포스핀, 알릴디페닐포스핀, 및 디페닐(2-메톡시페닐)포스핀으로 이루어진 군으로부터 선택된 탄소 전구체를 포함하는 방법.
  10. 제6항에 있어서, 가공 챔버 내로 적어도 하나의 비헤테로원자-함유 탄소 전구체를 도입하는 단계를 추가로 포함하는 방법.
  11. 제10항에 있어서, 적어도 하나의 비헤테로원자-함유 탄소 전구체가 아세틸렌, 프로필렌, 부타디엔, 이소프렌, 알렌, 프로핀, 및 부틴으로 이루어진 군으로부터 선택되는 방법.
  12. 헤테로원자-도핑된 탄소-함유 층을 형성시키는 방법으로서,
    가공 챔버 내로 적어도 하나의 헤테로원자를 함유하는 적어도 하나의 탄소 전구체를 도입하는 단계로서, 적어도 하나의 헤테로원자를 함유하는 적어도 하나의 탄소 전구체는 이황화탄소, 티오펜, 티올들, 티오페놀들, 알킬 설파이드들, 모노-알킬 포스핀들, 디-알킬 포스핀들, 트리-알킬 포스핀들, 알킬 포스핀 옥사이드들, 및 아릴 포스핀들로 이루어진 군으로부터 선택되는 단계;
    플라즈마를 생성시켜 헤테로원자-도핑된 탄소-함유 층을 증착시키는 단계로서, 헤테로원자-도핑된 탄소-함유 층이 유전체 물질을 포함하는 최상층을 지닌 스택 상에 증착되는 단계;
    헤테로원자-도핑된 탄소-함유 층 위에 패턴화된 층을 형성시키는 단계; 및
    F-, Cl-, 및 Br-로부터 선택되는 군으로부터 선택된 활성종(active species)을 갖는 에칭제를 사용하여 패턴화된 층을 에칭하는 단계를 포함하는 방법.
  13. 제12항에 있어서, 활성종이 F-인 방법.
  14. 삭제
  15. 삭제
KR1020167021520A 2014-01-22 2015-01-21 헤테로원자-도핑된 탄소 막들의 증착 KR102070350B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/161,313 2014-01-22
US14/161,313 US9406509B2 (en) 2014-01-22 2014-01-22 Deposition of heteroatom-doped carbon films
PCT/US2015/012299 WO2015112632A1 (en) 2014-01-22 2015-01-21 Deposition of heteroatom-doped carbon films

Publications (2)

Publication Number Publication Date
KR20160110421A KR20160110421A (ko) 2016-09-21
KR102070350B1 true KR102070350B1 (ko) 2020-01-28

Family

ID=53545427

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167021520A KR102070350B1 (ko) 2014-01-22 2015-01-21 헤테로원자-도핑된 탄소 막들의 증착

Country Status (3)

Country Link
US (1) US9406509B2 (ko)
KR (1) KR102070350B1 (ko)
WO (1) WO2015112632A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10010866B1 (en) 2017-09-19 2018-07-03 King Saud University Nitrogen and phosphorus co-doped crystalline carbon materials
US10551742B2 (en) 2017-12-20 2020-02-04 International Business Machines Corporation Tunable adhesion of EUV photoresist on oxide surface
EP3779525B1 (en) * 2019-08-13 2024-01-03 Istituto Nazionale di Astrofisica Method for applying a carbon-based reflective overcoating on a grazing incidence optical unit
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5773197A (en) 1996-10-28 1998-06-30 International Business Machines Corporation Integrated circuit device and process for its manufacture
US6746971B1 (en) 2002-12-05 2004-06-08 Advanced Micro Devices, Inc. Method of forming copper sulfide for memory cell
US20080268374A1 (en) 2004-07-14 2008-10-30 Fujifilm Corporation Photosensitive Composition, Pattern Forming Material, Photosensitive Laminate, Pattern Forming Apparatus, and Pattern Forming Process
JP2009513830A (ja) 2005-10-28 2009-04-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 構造の作製方法
US20130177848A1 (en) 2010-09-14 2013-07-11 Central Glass Company Limited Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same
US20130260560A1 (en) 2008-06-27 2013-10-03 Nano Terra Inc. Patterning Processes Comprising Amplified Patterns
US20140014965A1 (en) 2012-07-11 2014-01-16 Philip A. Kraus Chemical vapor deposition system with in situ, spatially separated plasma

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7294568B2 (en) 2004-08-20 2007-11-13 Intel Corporation Formation of air gaps in an interconnect structure using a thin permeable hard mask and resulting structures
US8283255B2 (en) 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US8288271B2 (en) 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5773197A (en) 1996-10-28 1998-06-30 International Business Machines Corporation Integrated circuit device and process for its manufacture
US6746971B1 (en) 2002-12-05 2004-06-08 Advanced Micro Devices, Inc. Method of forming copper sulfide for memory cell
US20080268374A1 (en) 2004-07-14 2008-10-30 Fujifilm Corporation Photosensitive Composition, Pattern Forming Material, Photosensitive Laminate, Pattern Forming Apparatus, and Pattern Forming Process
JP2009513830A (ja) 2005-10-28 2009-04-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 構造の作製方法
US20130260560A1 (en) 2008-06-27 2013-10-03 Nano Terra Inc. Patterning Processes Comprising Amplified Patterns
US20130177848A1 (en) 2010-09-14 2013-07-11 Central Glass Company Limited Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same
US20140014965A1 (en) 2012-07-11 2014-01-16 Philip A. Kraus Chemical vapor deposition system with in situ, spatially separated plasma

Also Published As

Publication number Publication date
US20150206739A1 (en) 2015-07-23
WO2015112632A1 (en) 2015-07-30
KR20160110421A (ko) 2016-09-21
US9406509B2 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
JP7326275B2 (ja) エッチング選択性の高いアモルファスカーボン膜
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
US9502258B2 (en) Anisotropic gap etch
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US9368369B2 (en) Methods for forming a self-aligned contact via selective lateral etch
US20160042968A1 (en) Integrated oxide and si etch for 3d cell channel mobility improvements
US9159606B1 (en) Metal air gap
CN113140503A (zh) 形成高纵横比特征的方法
US9984892B2 (en) Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US20230220551A1 (en) Pulsed plasma (dc/rf) deposition of high quality c films for patterning
KR20170125876A (ko) 자기-조립 단분자층들을 사용하는 선택적인 유전체 증착을 위한 방법들
TWI775839B (zh) 具有選擇性阻隔層的結構
KR102070350B1 (ko) 헤테로원자-도핑된 탄소 막들의 증착
KR20200102952A (ko) 플라즈마 에칭 프로세스
CN111819669B (zh) 形成气隙的系统及方法
US9520302B2 (en) Methods for controlling Fin recess loading
US20150371889A1 (en) Methods for shallow trench isolation formation in a silicon germanium layer
US9412607B2 (en) Plasma etching method
KR102599830B1 (ko) 결함 평탄화
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
TWI758464B (zh) 含矽間隔物的選擇性形成
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
TWI837338B (zh) 基板處理方法及基板處理裝置
TWI778048B (zh) 形成半導體結構的方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant