CN113140503A - 形成高纵横比特征的方法 - Google Patents

形成高纵横比特征的方法 Download PDF

Info

Publication number
CN113140503A
CN113140503A CN202110035620.9A CN202110035620A CN113140503A CN 113140503 A CN113140503 A CN 113140503A CN 202110035620 A CN202110035620 A CN 202110035620A CN 113140503 A CN113140503 A CN 113140503A
Authority
CN
China
Prior art keywords
carbon layer
forming
carbon
recess
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110035620.9A
Other languages
English (en)
Inventor
宇津野三矢
杉浦博次
须佐吉雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN113140503A publication Critical patent/CN113140503A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了用于在衬底上形成高纵横比特征的方法和系统。例示性方法包含:在凹部内形成第一碳层;蚀刻所述第一碳层在所述凹部内的一部分;以及在所述凹部内形成第二碳层。还公开了使用所述方法或系统形成的结构。

Description

形成高纵横比特征的方法
技术领域
本公开大体涉及形成适合用于制造电子器件的结构的方法。更具体地,本公开的实例涉及使用含碳材料形成包含高纵横比特征的结构的方法。
背景技术
在制造例如半导体器件的器件期间,通常期望形成具有高纵横比特征(例如高纵横比沟槽或间隙)的结构。形成特征的一些技术包含图案化、蚀刻和掩蔽层移除。在一些情况下,可以实现纵横比大于50或甚至60的特征。
可以使用含硅材料的蚀刻来形成各种特征。图5中描绘了形成特征的比较性过程500,其中图5(a)描绘了提供上面沉积有掩蔽层532的中间结构522的步骤S502的结果。中间结构522包括衬底524,所述衬底包括沉积在其上的一个或多个(例如多个)层526。掩蔽层532覆盖在一个或多个层526的最顶层530上。一个或多个层526可包括例如交替层。如图5所描绘,一个或多个层526可包括与一个或多个氮化硅(SiN)层528交替的一个或多个二氧化硅(SiO2)层560;然而,其它配置是可能的,包含包括其它材料的单层或多层。最顶层530可以是SiO2、SiN或其它材料的层。
如图5(b)中所描绘,在图案化步骤S504之后,掩蔽层532中具有未掩蔽的开口534。如图5(c)中所描绘,在步骤S506中进行蚀刻然后移除掩蔽层532之后,在中间结构522中形成特征536以形成中间结构523。如图5(d)中所描绘,在碳填充步骤S508之后,含碳材料538覆盖中间结构523的表面且在特征536内。如图5(e)中所描绘,在碳蚀刻步骤S510之后,用含碳材料540的剩余部分填充特征536。含碳材料540的剩余部分防止特征536在后续步骤期间填充有不需要材料。如图5(f)中所描绘,在沉积具有顶层544的一个或多个额外层542以产生中间结构582的步骤S512之后,特征536仍填充有含碳材料540的剩余部分,从而保护特征536而不被在额外层沉积步骤S512中沉积的材料填充,并且可保护中间结构582的完整性。如上所述,一个或多个额外层542可包括一个或多个SiO2层584,其任选地与一个或多个SiN层582交替。如图5(g)中所描绘,在掩蔽层沉积步骤S514之后,掩蔽层546在一个或多个额外层542的顶层544的表面上。如图5(h)中所描绘,在图案化步骤S516之后,开口548出现在掩蔽层546中。如图5(i)中所描绘,在蚀刻额外层的步骤S518之后,在特征536中的含碳材料540的剩余部分上方存在开口550。并且,如图5(j)中所描绘,在掩蔽层和碳层移除步骤S520之后,存在(特征)凹部552,其包括分别在蚀刻步骤S506和S518中形成的特征536和开口550。
虽然图5中所描绘的过程可以有效地形成各种特征,但仍存在问题,包含凹部填充不良、产生弯曲以及产量较低,如图6和7中所示。在图6中,示出了填充不良的实例。如图6中所描绘,在衬底604上具有一个或多个(例如,多个)层606的结构602上沉积有含碳材料612。一个或多个层606可包括例如交替的SiO2层610和/或SiN层608,但其它配置是可能的。一个或多个层606的顶层620具有在含碳材料612下方的表面。含碳材料612可能无法充分地填充凹部614,这会形成瓶颈,在这种情况下,在将碳层部分地移除回顶层620的表面的顶表面之后,含碳材料无法覆盖凹部614的开口。在稍后的蚀刻步骤期间,瓶颈可能引起过度蚀刻和/或弯曲。
如图7中所描绘,结构702具有形成在衬底704上的一个或多个(例如,多个)层706。一个或多个(例如多个)层706可包括交替的SiO2层710和/或SiN层708,但其它配置是可能的。如图7(a)中所描绘,含碳层712覆盖结构702且处于凹部714内。如图7(b)中所描绘,结构702具有高度h。随着高度h增加,沉积含碳材料所需的时间和/或移除含碳层712的时间增加,这使得产量较低。因此,完全填充凹部714可以避免过度蚀刻,但也可能引起不良输出,因为高纵横比特征可能需要较长的碳沉积和/或移除周期以从凹部完全移除碳层。
在此部分中阐述的任何讨论,包含对问题和解决方案的讨论,仅出于为本公开提供上下文的目的而包含在本公开中,且不应视为承认论述中的任一项或全部在创作本发明时是已知的或以其它方式构成现有技术。
发明内容
本公开的各种实施例涉及制造适用于形成电子器件的具有高纵横比特征的结构的方法。虽然下文将更详细地讨论本公开的各种实施例解决现有方法和结构的缺点的方式,但总体上,本公开的示例性实施例提供了用于在具有含碳材料的衬底的表面上形成特征和/或形成包括碳的层或结构的改进方法。示例性方法可以防止或减轻在结构形成期间的弯曲,和/或可以例如通过减少碳沉积和/或蚀刻时间来表现相对高的产量。
根据本公开的各种实施例,提供一种制造结构(例如,包含一个或多个高纵横比特征的结构)的方法。示例性方法可包含:在反应器的反应室中提供衬底,所述衬底包括一个或多个层,所述一个或多个层包括表面和形成于所述一个或多个层内的凹部;在所述表面上形成第一碳层,从而部分地填充所述凹部,(其中所述第一碳层可以是初始可流动的);部分地移除所述第一碳层在所述凹部内的一部分;以及形成覆盖在所述第一碳层的剩余部分上的第二碳层。第二碳层也可以是初始可流动的。所述第一碳层的初始流动性可大于所述第二碳层的初始流动性。在一些示例性方法中,蚀刻所述第一碳层的一部分的步骤可包含蚀刻所述第一碳层,直到所述第一碳层在所述凹部内的表面在所述凹部的所述顶部下方。示例性方法可进一步包含部分地移除(例如,蚀刻)所述第二碳层的步骤,其中所述第二碳层的剩余部分与所述凹部的开口共面或基本上共面;沉积覆盖在所述第二碳层的所述剩余部分上的至少一个额外层;蚀刻所述至少一个额外层以形成朝向所述第二碳层的所述剩余部分的开口;以及移除所述第二碳层的所述剩余部分和第一碳层的所述剩余部分,从而形成高纵横比特征。在一些情况下,第一碳层可具有可初始延伸到所述凹部的至少顶表面(例如,与所述凹部的至少顶表面共面或基本上共面)的顶表面。根据本公开的其它实例,第二碳层可将凹部填充到衬底的至少顶表面。蚀刻所述第二碳层的一部分的步骤还可包含蚀刻所述第二碳层,直到所述第二碳层在所述凹部内的表面与限定凹部开口的表面共面或基本上共面。示例性方法可包括处理,其可包含等离子体处理—例如,用由氩、氦、氮和氢中的一种或多种形成的物种处理。处理,例如等离子体处理,可为第一碳层和/或第二碳层形成步骤的部分。可以使用一个或多个等离子体蚀刻步骤,例如一个或多个等离子体增强蚀刻过程来执行各种蚀刻步骤
根据本公开的又其它示例性实施例,根据本文所述的方法至少部分地形成一种结构。
根据本公开的又其它示例性实施例,提供一种用于执行方法和/或用于形成如本文所描述的结构的系统。
通过下文结合附图对某些实施例的详细描述,这些及其它实施例对于本领域技术人员将是显而易见的;本发明不限于所公开的任何特定实施例。
附图说明
当结合以下示意性附图考虑时,可通过参考详细描述和权利要求来得到对本公开的示例性实施例的更全面理解。
图1示出了根据本公开的实施例的制造包含高纵横比特征的结构的方法(过程)。
图2示意性地示出了根据本公开的示例性实施例的具有具备竖直对准的侧壁的特征的结构。
图3示意性地示出了具有具备基本上竖直对准的侧壁的特征的结构,所述侧壁具有唇缘。
图4示意性地示出了具有具备突出部的竖直对准的侧壁的结构。
图5示出了使用单种含碳材料在结构中形成特征的比较性过程。
图6示出了用含碳材料不完全填充凹部。
图7示出了填充有含碳材料的高纵横比特征。
图8示出了根据本公开的示例性实施例的系统。
应理解,图中的元件仅为简单和清晰起见示意而不一定按比例绘制。举例来说,图中的一些元件的尺寸可能相对于其它元件被放大以帮助改善对所示意的本公开实施例的理解。
具体实施方式
尽管下文公开了某些实施例和实例,但本领域的技术人员将理解,本发明延伸超出了本发明具体公开的实施例和/或用途以及显而易见的修改和其等效物。因此,希望本发明所公开的范围不应受限于下文所描述的特定公开实施例。
本公开大体上涉及沉积材料的方法,涉及形成结构和特征的方法,涉及使用所述方法形成的结构和特征,并且涉及用于执行所述方法和/或形成所述结构和/或特征的系统。作为实例,本文所描述的方法可用于形成包含特征的结构,所述特征例如凹部或间隙(例如沟槽或通孔)。特征形成可包含用例如含碳(例如有机)材料的材料掩蔽或阻挡(例如,至少部分地填充)现有特征(例如凹部)以形成基底,额外层可沉积在所述基底上。如本文所用,术语间隙和凹部可互换使用。
用含碳材料不完全(部分)填充凹部可能会形成空隙。本文公开的实施例利用空隙形成来提供有效的碳衬底,从而准许高效地形成包含例如凹部的高纵横比特征的结构。在本文所公开的一些实施例中,可以形成包含例如60到70的纵横比的特征。在一些实施例中,可实现高产量。在一些实施例中,可以减轻或甚至避免弯曲和/或过度蚀刻。
在本公开中,取决于上下文,“气体”可以指在常温和常压下为气体的材料,气化的固体和/或气化的液体,并且可以由单一气体或气体混合物构成。可使用非工艺气体的气体,即不经过例如喷淋头、其它气体分配器件等气体分配组件而引入的气体,来例如密封反应室,所述气体包含密封气体,如稀有气体。在一些情况下,如在沉积材料的上下文中,术语“前体”可指参与产生另一化合物的化学反应的化合物,特别是指构成膜基质或膜的主要骨架的化合物,而术语“反应物”可指在一些情况下非前体的化合物,其活化前体、改性前体或催化前体的反应;当施加例如射频(RF)功率时,反应物可向膜基质提供元素(例如O、H、N、C)并成为膜基质的一部分。在一些情况下,术语前体和反应物可互换使用。术语“惰性气体”是指不在可察觉的程度上参与化学反应的气体和/或在施加RF功率时会激发前体的气体,但与反应物不同,其可能不会在可察觉的程度上成为膜基质的一部分。
如本文所用,术语“衬底”可指可用来形成器件、电路或膜或者可在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可包含例如硅(例如,单晶硅)的本体材料、例如锗的其它IV族材料或例如GaAs的化合物半导体材料,并且可包含加在本体材料上面或下面的一个或多个层。另外,衬底可包含各种特征,例如形成在衬底的层或本体材料的至少一部分内或者衬底的层或本体材料的至少一部分上的间隙(例如,凹部或通孔)、管线或突起,例如其间形成有间隙的管线等等。作为实例,一个或多个特征可具有约100nm至约200nm的宽度、约5,000nm至约15,000nm的深度或高度,和/或约25至约150的纵横比。作为另外的实例,衬底可包含本体材料和覆盖在本体材料上的一个或多个例如氧化硅和氮化硅层。一个或多个层可包含凹部和表面。
在一些实施例中,“膜”是指在垂直于厚度方向的方向上延伸的层。在一些实施例中,“层”是指在表面上形成的具有一定厚度的材料,并且可为膜或非膜结构的同义词。膜或层可由离散的单个具有某些特性的膜或层构成,也可由多个膜或层构成,并且相邻的膜或层之间的边界可能清晰也可能不清晰并可能是或可能不是基于相邻的膜或层的物理、化学和/或任何其它特性、形成工艺或顺序和/或功能或目的创建的。层或膜可以是连续的——或不是连续的。
如本文所用,术语“碳层”或“含碳材料”可指化学式可表示为包含碳的层或材料。包括含碳材料的层可包含其它元素,例如氮和氢中的一种或多种。
如本文所用,术语“结构”可指部分或完全制造的器件结构。作为实例,结构可包含上面形成有一个或多个层和/或特征的衬底。
等离子体增强化学气相沉积(PECVD)可指用于将薄膜从气态(蒸气)到固态沉积在衬底上的工艺。所述工艺中涉及发生在产生反应气体的等离子体之后的化学反应。等离子体可施加到填充有反应性和/或惰性气体的空间。在一些实施例中,使用射频(RF)等离子体源来产生等离子体,但可使用能够产生直接和/或远程等离子体的任何类型的等离子体源,包含微波和DC源。在一些实施例中,可使用远程产生的等离子体来供应反应性物种。在另外的实施例(例如脉冲PECVD)中,仅一种反应物,即前体或反应性物种可连续地提供到腔室,而另一反应物间歇性地脉冲。
在本公开中,在一些实施例中并且取决于上下文,“连续”是指不破坏真空,不随时间线中断,无任何材料插入步骤,不改变处理条件,紧接其后,作为下一步骤,或除两个结构之外不在所述两个结构之间插入离散物理或化学结构。
可如下确定流动性(例如,初始流动性):
表1
底部/顶部比(B/T) 流动性
0<B/T<1
1≤B/T<1.5 不佳
1.5≤B/T<2.5 良好
2.5≤B/T<3.5 非常好
3.5≤B/T 极好
其中B/T是指在凹部被填充或部分地填充之前,沉积在凹部底部的膜的厚度与沉积在形成有凹部的顶表面上的膜的厚度的比。通常,使用纵横比为约1或更小的宽凹部来评估流动性,因为一般来说,凹部的纵横比越高,B/T比就变得越高。当凹部的纵横比较高时,B/T比可变得较高。如本文所用,“可流动”膜或材料表现出良好或更好的流动性。
如下文更详细地阐述,当例如挥发性烃前体通过等离子体聚合并沉积在衬底的表面上时,可暂时获得膜的流动性,其中气态前体通过等离子体气体放电所提供的能量活化或片段化以引发聚合,并且当所得聚合物材料沉积在衬底的表面上时,材料显示出暂时可流动行为。在一些情况下,当沉积步骤完成时,可流动膜不再可流动(或表现出降低的流动性)并且固化,因此可以不使用单独的固化工艺。
在本公开中,变量的任何两个数字可构成变量的可工作范围,且所指示的任何范围可以包含或排除端点。另外,任何所指示的变量值(无论它们是否用“约”指示)都可指精确值或近似值并包含等效值,并且在一些实施例中可指平均值、中位数、代表性值、多数值等。此外,在本公开中,在一些实施例中,术语“包含”、“由……构成”和“具有”可独立地指“通常或广义上包括”、“包括”、“基本上由……组成”或“由……组成”。在本公开中,在一些实施例中,任何定义的含义不一定排除普通和惯用的含义。
现在转到附图,图1示出了使用两个或更多个含碳层形成包含高纵横比特征的结构的方法100。在步骤S102期间,将包括衬底124的第一中间结构122提供到反应器的反应室中。
如图1(a)中所描绘,在步骤S102期间,在反应器的反应室中提供具有衬底124的第一中间结构122。第一中间结构122包括第一一个或多个(例如,多个)层126,在其中形成有凹部138。第一一个或多个(例如,多个)层126可包括例如一个或多个交替层。作为说明,第一一个或多个层126可包括与一个或多个氮化硅层130交替的一个或多个氧化硅层128。其它配置也是可能的。第一一个或多个层126(例如氧化硅和/或氮化硅层)可通过例如CVD、PECVD或PEALD的任何合适的工艺沉积。尽管参考多个层126示出,但第一中间结构122可包含单个材料层来代替多个层126。尽管用氧化硅和/或氮化硅作为层材料示出,但可使用其它材料。第一中间结构122可成为后续步骤的衬底。
第一中间结构122具有第一顶层132。凹部138可例如使用上文所描述的技术形成于第一一个或多个层126中,所述技术例如掩蔽、图案化和蚀刻技术。凹部138具有凹部侧壁134和开口136。在一些实施例中,凹部侧壁134可以是竖直的或基本上竖直的。在一些实施例中,开口136与第一一个或多个(例如,多个)层126的第一顶层132的表面共面或基本上共面。
如图1(b)中所描绘,在沉积含碳材料的第一步骤S104之后,第一中间结构122已使第一含碳材料140沉积在第一顶层132上,所述第一含碳材料具有流动性,使得其部分地填充凹部138以在第一含碳材料140在凹部138内的一部分下方形成空隙142。用于在步骤S104期间在第一中间结构122上沉积第一含碳材料140的示例性技术包含PECVD技术。
在沉积第一含碳材料140的步骤期间使用的PECVD技术可包含将合适的前体引入反应室中,同时施加RF功率以在反应室内形成等离子体。RF功率的频率可在约2.0MHz至约27.12MHz的范围内,其中功率为约50W至约300W。在一些实施例中,可例如通过电极,例如基座和/或气体分配器件中的一个或多个,施加直流电(DC)或RF偏压。在一些此类情况下,可在步骤S104的至少一部分期间在基座台/基座上施加RF频率。在步骤S104期间施加到基座台/基座的RF频率可在约400kHz至约800kHz的范围内。在沉积第一含碳材料140的步骤期间,可将一种或多种惰性气体引入反应室中。一种或多种惰性气体可包含氩气、氦气、氮气和/或氢气。
如上所述,在步骤S104期间,可将前体引入反应室中。合适的前体可以由式CxHyNz表示,其中x可以是大于或等于2的自然数,y可以是自然数,并且z可以是零或自然数。例如,x可在约2至约15的范围内,y可在约4至约30的范围内,并且z可在约0至约10的范围内。另外或替代地,前体可包含具有两个或更多个碳原子和一个或多个氢原子的链或环状分子,例如由式CxHyNz表示的分子。作为特定实例,前体可为或包含双键和/或一种或多种芳族烃结构中的一种或多种。
在一些实施例中,第一含碳材料140可具有高流动性和低蚀刻选择性。蚀刻选择性是指一种材料相对于其它材料的蚀刻速率比。在一些实施例中,含碳材料140相对于第一一个或多个(例如,多个)层126中的材料的蚀刻选择性较低,例如,小于2.0。第一含碳材料140的初始流动性可大于如表1中定义的‘极好’。
除了沉积第一含碳材料140之外,步骤S104还可任选地包括处理步骤。在一些实施例中,步骤S104可不包括等离子体处理,或包括弱等离子体处理。弱等离子体处理可包括使第一含碳材料与例如氩气、氦气、氮气和/或氢气中的一种或多种的惰性气体接触,同时施加RF功率以由氩气、氦气、氮气和/或氢气中的一种或多种形成受激物种。在一些实施例中,在步骤S104期间的弱等离子体处理可包含将第一中间结构122暴露于具有约50W至约300W的(例如连续)RF功率的等离子体。在步骤S104期间使用的RF频率可为约2.0MHz至约27.12MHz。在一些实施例中,在步骤S104的处理步骤期间,第一含碳材料140暴露于等离子体的时间可在约1至10秒,例如约1.0至约10.0秒的范围内。(秒可在本文中缩写为“sec”)。在步骤S104期间,反应室中的温度可小于100℃。
如图1(c)中所描绘,在部分碳层移除S106的第一步骤之后,第一含碳材料144的剩余部分保留在凹部138中。空隙142可形成在第一含碳材料144的剩余部分下方。因此,第一含碳材料144的剩余部分在凹部138内形成空隙142。在一些实施例中,在第一部分碳层移除步骤S106之后,在第一含碳材料144的剩余部分上方的凹部138的一部分可保持开放。等离子体蚀刻可用于在步骤S106期间的部分碳层移除。在步骤S106期间,蚀刻剂可流动到反应室。示例性蚀刻剂包含例如氧气(O2)或N2O的含氧气体,或例如氢气(H2)或NH3的含氢气体。在步骤S106期间,等离子体可产生含氧和/或含氢的活性物种。在一些情况下,在步骤S106期间使用的气体可包含例如氦气、氩气等惰性气体中约5.0%至约50.0%的含氧气体和/或含氢气体。在步骤S106期间,气体(例如,含氧气体、含氢气体和/或任何惰性气体)的流动速率可在约1.0slm至约4.0slm的范围内。
在步骤S106期间,可使用直接和/或远程等离子体由气体(例如含氧气体、含氢气体和/或任何惰性气体)形成活性物种。在步骤S106期间施加到电极的功率可以在约50W至约400W的范围内。在步骤S106期间施加的功率可以在约2.0MHz至约27.12MHz的范围内。
如图1(d)中所描绘,在包括沉积第二含碳材料146的第二步骤S108之后,凹部138部分地填充有第二含碳材料146,所述第二含碳材料可沉积在第一一个或多个(例如多个)层126的顶层132的表面176上。用于在步骤S108期间在第一一个或多个(例如,多个)层126的顶层132上沉积第二含碳材料146的示例性技术包含PECVD技术。在沉积第二含碳材料146的步骤期间使用的PECVD技术可包含将合适前体引入反应室中,同时施加RF功率以在反应室内形成等离子体。RF功率的频率可在约2.0MHz至约27.12MHz的范围内,其中功率为约50W至约300W。在一些实施例中,可例如通过电极,例如基座和/或气体分配器件中的一个或多个,施加直流电(DC)或RF偏压。在一些此类情况下,可在步骤S108的至少一部分期间在基座台/基座上施加RF频率。在步骤S108期间施加到基座台/基座的RF频率可在约400kHz至约800kHz的范围内。在一些实施例中,可以通过操控例如施加到基座台/基座的射频(RF)功率、暴露于等离子体的时间或偏压RF功率中的一个或多个来调整、控制或操控碳层的膜密度。
可在沉积第二含碳材料146的步骤S108期间将一种或多种惰性气体引入反应室中。一种或多种惰性气体可包含氩气、氦气、氮气和/或氢气。
在步骤S108期间,可将前体引入反应室中。合适于沉积第二含碳材料146的前体(可以与在沉积第一含碳材料140时使用的那些前体相同或不同)可以由式CxHyNz表示,其中x可以是大于或等于2的自然数,y可以是自然数,并且z可以是零或自然数。例如,x可在约2至约15的范围内,y可在约4至约30的范围内,并且z可在约0至约10的范围内。另外或替代地,前体可包含具有两个或更多个碳原子和一个或多个氢原子的链或环状分子,例如由式CxHyNz表示的分子。作为特定实例,前体可为或包含双键和/或一种或多种芳族烃结构中的一种或多种。
在一些实施例中,第二含碳材料146与第一含碳材料140相比可具有更低的流动性和/或更高的蚀刻选择性。蚀刻选择性是指一种材料相对于其它材料的蚀刻速率比。在一些实施例中,第二含碳材料146相对于一个或多个(例如,多个)额外层152(参见图1(f)的描述,见下文)中的材料的蚀刻选择性相对较高,例如,大于2.0。含碳材料146的初始流动性可小于如表1中定义的‘良好’。
在一些实施例中,在沉积第二含碳材料146之后,步骤S108还可包括等离子体处理步骤。在一些实施例中,处理步骤可包括强等离子体处理。可在步骤S108期间使用连续射频(RF)。强等离子体处理可包括使第二含碳材料146与活性物种接触,所述活性物种是通过将例如氩气、氦气、氮气和/或氢气中的一种或多种的惰性气体暴露于等离子体而由所述气体形成的。在一些示例性实施例中,在步骤S108期间的强等离子体处理可包含将第二含碳材料146暴露于具有约50W至约300W的(例如连续)RF功率的等离子体。在步骤S108期间使用的RF频率可以是约2.0MHz至约27.12MHz。在一些实施例中,在步骤S108的处理步骤期间,第二含碳材料146暴露于等离子体的时间可在约5至30秒,例如约5.0至约30.0秒的范围内。(秒可在本文中缩写为“sec”)。在步骤S108期间,反应室中的温度可小于100℃。
如图1(e)中所描绘,在部分地移除第二含碳材料146的步骤S110之后,第二含碳材料148的具有表面150的剩余部分保留在凹部138中,且第一顶层132具有第一顶表面176。等离子体蚀刻可在步骤S110期间在部分地移除第二含碳材料期间使用。在步骤S110期间,蚀刻剂可流动到反应室。在步骤S110中使用的示例性蚀刻剂可包含例如氧气(O2)的含氧气体和例如氢气(H2)的含氢气体。在一些此类情况下,在步骤S110中使用的气体可包含例如氦气、氩气等惰性气体中约5.0%至约50.0%的含氧气体和/或含氢气体。在步骤S110中,气体(例如,含氧气体、含氢气体和/或任何惰性气体)的流动速率可在约1.0slm至约4.0slm的范围内。
在步骤S110中,可使用直接和/或远程等离子体由气体(例如,含氧气体、含氢气体和/或任何惰性气体)形成活性物种。在步骤S110期间施加到电极的功率可在约100W至约800W的范围内。在步骤S110中使用的功率的频率可在约2.0MHz至约27.12MHz的范围内。
在一些实施例中,在S110之后,第二含碳材料148的保留在凹部138中的剩余部分的表面150与第一一个或多个(例如多个)层126的第一顶层132的第一顶表面176共面或基本上共面。在一些实施例中,在S110之后,第二含碳材料148的在凹部138内的剩余部分使得第一含碳材料144的剩余部分的部分和第二碳层148的剩余部分在稍后的过程步骤期间阻止材料沉积在凹部138和空隙142内,作为说明,例如步骤S112-S118。
如本文所用,“共面”意味着在常规测量方法(例如,横截面TEM或SEM)的限制内,一个特征(例如,第二碳层)的表面与另一个特征(例如,结构)的表面共面。如本文所用,“基本上共面”意味着第一特征的表面比第二(参考)特征的表面高或低不超过百分之五(5%),其中两个表面之间的高度差是分子,并且两个特征中的较薄特征的厚度是分母。在一些实施例中,在步骤S110中形成的第二含碳材料148的剩余部分的表面150与第一顶层132的第一顶表面176“基本上共面”,意味着它可能比第一顶表面176高或低最多5%、4%、3%、2%、1%、0.5%、0.25%、0.1%、0.05%或0.01%(其中当第一顶层132比第二含碳材料148的剩余部分更薄时,第一顶层132是参考层,所述参考层的宽度是分母)。
如图1(f)中所描绘,在将额外层152沉积在第一一个或多个(例如,多个)层126的第一顶层132和第二含碳材料148的剩余部分的表面150上的步骤S112之后,形成一个或多个(例如,多个)额外层152,从而形成第二中间结构182。在步骤S112中形成的一个或多个额外层152具有具备表面156的顶层154。如图1(f)中所描绘,在一个或多个额外层152的沉积步骤S112之后,第一含碳材料144的剩余部分和第二含碳材料148的剩余部分保留在凹部138中,空隙142保留在第一含碳材料144的剩余部分下方,从而保护凹部138而不被在额外层沉积步骤S112中沉积的材料填充。一个或多个额外层152可通过任何合适的工艺形成,所述工艺例如CVD、PECVD或PEALD。
如图1(g)中所描绘,在掩蔽层沉积步骤S114之后,在顶层154的表面156上形成掩蔽层158。适合的掩蔽层材料可包括SiC、金属氧化物(TiO、ZrO、AlO等)或有机层,并且可通过例如CVD、PECVD或PEALD的方法沉积。
如图1(h)中所描绘,在包含部分掩蔽层移除的图案化步骤S116之后,存在一个或多个(例如多个)额外层152的顶层154的表面156的暴露部分160。图案化可通过合适的方法进行,所述方法例如光刻。
如图1(i)中所描绘,在蚀刻步骤S118之后,在一个或多个(例如,多个)额外层152中形成开口162。开口162具有开口侧壁164。步骤S118可包含上文结合步骤S102所描述的相同或类似技术。
如图1(j)中所描绘,在掩蔽层和碳层移除步骤S120之后,形成特征166。在步骤S120中形成的特征166具有特征侧壁170。特征166包括凹部138和开口162两者。因此,第二蚀刻步骤S118以及步骤S120中的碳层移除已经在第一一个或多个层126和一个或多个额外层152中形成单个高纵横比特征166,从而形成具有具备高纵横比的特征166的结构192。掩蔽层可通过合适的方法移除,所述方法例如湿法工艺(使用基于HF或H2O2-NH4OH的化学物质)或干法工艺(通过基于CF的化学物质或基于Cl的化学物质进行等离子体蚀刻)。可以使用上文所述的技术移除第二含碳层148的剩余部分和第一含碳材料144的剩余部分,所述技术例如关于步骤S106和/或S110所描述的碳层等离子体蚀刻。
第二含碳材料148的剩余部分与第一含碳材料144的剩余部分的组合(例如,在第一含碳材料144的剩余部分下方具有空隙142)一起有效地阻止在例如步骤S112、S114、S116和S118的处理步骤期间的材料沉积。与比较性含碳材料相比,例如图7中所描绘的含碳材料714,第二含碳材料148的剩余部分与第一含碳材料144的剩余部分的组合(例如,在第一含碳材料144的剩余部分下方具有空隙142)可以更容易和/或更快速地移除。因此,与比较性方法500相比,方法100和本发明范围内的相关方法可以实现更高的产量。在不希望受理论束缚的情况下,可以实现此类较高产量,因为与仅包括一种含碳材料和/或在第一含碳材料144的剩余部分下方不具有空隙142的比较性含碳材料相比,第一含碳材料144的剩余部分(例如,具有高流动性和低蚀刻选择性)和剩余第二含碳材料148的部分(例如,与第一含碳材料相比具有较低流动性和/或较高蚀刻选择性)一起更容易和/或更快速地被沉积和/或移除,这促进了空隙形成。因此,同样在不受理论束缚的情况下,相信使用两种含碳材料且任选地包含空隙的本发明方法,例如图1中所描绘的方法100,可实现比使用单种含碳材料的比较性方法更高的产量。
现在参看图2-4,结构222中形成有特征266。如图2中所描绘,特征266具有特征侧壁270,所述特征侧壁包括开口侧壁264和凹部侧壁234。开口侧壁264和凹部侧壁234在第一一个或多个层226的第一顶层232与第二一个或多个额外层252之间的接合部202处会合。第一一个或多个层226的第一顶层232具有厚度w。
如图2中所描绘,在一些实施例中,凹部侧壁234和开口侧壁264竖直对准或基本上竖直对准,使得在凹部侧壁234与开口侧壁264之间存在无缝或基本上无缝的接合部202。
如图3中所描绘,存在结构322,其中特征侧壁270可包含由于开口侧壁264与凹部侧壁234的轻微错位而产生的唇缘372。在此类情况下,可存在唇缘方差v1,其是沿着开口侧壁264与凹部侧壁234之间的唇缘372的水平距离。
如图4中所描绘,存在结构422,其中特征侧壁270可包含由于开口侧壁264与凹部侧壁234的轻微错位而产生的突出部474。在此类情况下,可存在突出部方差v11,其是沿着开口侧壁264与凹部侧壁234之间的突出部的水平距离。
尽管图3示出了唇缘272并且图4示出了突出部474,但应当理解,在轻微错位的情况下,结构322、422可以具有唇缘272和突出部474,并且因此可以具有唇缘方差v1和突出部方差v11两者。
如本文所用,“竖直对准”意味着特征侧壁270中不存在可通过常规方法(例如,CVD或ALD)测量的唇缘或突出部;并且“基本上竖直对准”意味着当可通过常规方法测量时,唇缘方差v1和/或突出部方差v11小于第一一层或多层226的第一顶层232的厚度w的约3%。在一些实施例中,当可通过常规方法测量时,唇缘方差v1和/或突出部方差v11可以小于第一顶层232的厚度w的约3%。
如本文所用,“无接缝”意味着特征侧壁中不存在可通过常规方法(例如PECVD或PEALD)测量的唇缘或突出部。如本文所用,“基本上无缝”意味着当可通过常规方法测量时,唇缘方差v1和/或突出部方差v11小于第一一个或多个层226的第一顶层232的厚度w的约5%。在一些实施例中,唇缘方差v1和/或突出部方差v11可以小于第一顶层232的厚度w的约3%。
图1(j)中所示的结构192和图2-4中所示的结构222、322、422可以分别充当后续处理中的衬底,包含重复图1所示的方法。
图1中描绘的方法100可在反应器系统600中进行,如图6中描绘,所述反应器系统可用于执行如本文所描述的一个或多个步骤或子步骤和/或形成如本文所描述的一个或多个结构或其部分。
图6示出了根据本公开的示例性实施例的反应器系统600。反应器系统600可用于执行如本文所描述的一个或多个步骤或子步骤,和/或用于形成如本文所描述的一个或多个结构或其部分。
反应器系统600包含在反应室3的内部11(反应区)中平行且面向彼此的一对导电平板电极4、2。可通过将来自电源25的HRF功率(例如,2.0MHz至27.12MHz)施加到一个电极(例如电极4)并且电接地另一电极(例如电极2)来在反应室3内激发等离子体。在下部平台2(下部电极)中提供温度调节器,并且可将放置在所述下部平台上的衬底1的温度保持在所要温度。电极4可充当气体分配器件,例如喷淋板。反应气体、稀释气体(如果有的话)、前体气体和/或蚀刻剂可分别使用气体管线20、气体管线21和气体管线22中的一个或多个并通过气体分配器件4引入反应室3中。尽管示出有三个气体管线,但反应器系统600可包含任何合适数量的气体管线。
在反应室3中,提供具有排气管线7的环形管道13,反应室3的内部11中的气体通过所述环形管道排出。另外,设置在反应室3下方的转移室5提供有密封气体管线24以通过转移室5的内部16(转移区)将密封气体引入反应室3的内部11中,其中提供用于分离反应区和转移区的分离板14(此图省略了向转移室5中或从所述转移室转移晶片所通过的闸阀)。转移室还提供有排气管线6。在一些实施例中,在同一反应室中执行沉积、蚀刻和/或表面处理步骤,使得可在不使衬底暴露于空气或其它含氧气氛的情况下进行两个或更多个(例如,所有)步骤。
在一些实施例中,载气到反应室3的流动可使用流通系统(FPS)实现,其中载气管线具备具有前体储集器(瓶)的绕道管线,并且主管线和绕道管线被切换,其中在打算仅将载气馈送到反应室时,关闭绕道管线,而在打算将载气和前体气体均馈送到反应室时,关闭主管线,并且载气流过绕道管线并与前体气体一起从瓶中流出。以此方式,在基本上不使反应室的压力波动的情况下,载气可流入反应室中,并且可通过在主管线与绕道管线之间切换而以脉冲方式运载前体气体。
本领域技术人员将了解,所述设备包含被编程或以其它方式配置成使得能够进行本文其它地方所描述的一个或多个方法步骤的一个或多个控制器26。如本领域的技术人员应了解,(多个)控制器与各种电源、加热系统、泵、机器人装置和反应器的气体流量控制器或阀连通。
在一些实施例中,可以使用双室反应器(用于加工彼此密切设置的晶片的两个部分或隔室),其中反应气体和惰性气体可以通过共享管线供应,而前体气体通过未共享管线供应。
上文描述的本公开的实例实施例不限制本发明的范围,因为这些实施例仅是本发明的实施例的实例。任何等同的实施例均意在包括在本发明的范围内。实际上,所属领域的技术人员根据说明书可以清楚了解除本文中所展示和描述的内容外的对本公开的各种修改,如所描述要素的替代性适用组合。此类修改和实施例也意在落在所附权利要求书的范围内。

Claims (25)

1.一种制造包含高纵横比特征的结构的方法,所述方法包括:
a.在反应室中提供具有一个或多个层的衬底,所述一个或多个层包括表面和形成于所述一个或多个层内的凹部;
b.在所述表面上形成第一碳层,从而至少部分地填充所述凹部;
c.部分地移除所述第一碳层;
d.形成覆盖在所述第一碳层的剩余部分上的第二碳层;
e.部分地移除所述第二碳层,其中所述第二碳层的剩余部分与所述凹部的开口基本上共面;
f.沉积覆盖在所述第二碳层的所述剩余部分上的至少一个额外层;以及
g.蚀刻所述至少一个额外层以形成朝向所述第二碳层的所述剩余部分的开口。
2.根据权利要求1所述的方法,其中至少部分地填充所述凹部包括在所述凹部内和所述第一碳层下方形成空隙。
3.根据权利要求1或权利要求2中任一项所述的方法,其中所述第一碳层的初始流动性大于所述第二碳层的初始流动性。
4.根据权利要求2或权利要求3中任一项所述的方法,其中在部分地移除所述第一碳层的步骤之后,所述第一碳层的剩余部分仍保持在所述凹部内形成空隙。
5.根据权利要求1至4中任一项所述的方法,其中部分地移除所述第一碳层的所述步骤包括从所述凹部移除所述第一碳层中的至少一些。
6.根据权利要求1至5中任一项所述的方法,其中形成所述第一碳层的所述步骤、形成所述第二碳层的步骤或这两者包括等离子体增强化学气相沉积(PECVD)。
7.根据权利要求1至6中任一项所述的方法,其中形成第一碳层的所述步骤、形成第二碳层的所述步骤或这两者包括提供由式CxHyNz表示的前体,其中x是大于或等于2的自然数,y是自然数,并且z是零或自然数。
8.根据权利要求1至7中任一项所述的方法,其中形成第一碳层的所述步骤、形成第二碳层的所述步骤或这两者包括等离子体处理的步骤。
9.根据权利要求1至8中任一项所述的方法,其中形成所述第一碳层的所述步骤、形成所述第二碳层的所述步骤或这两者包括通过控制射频(RF)功率、暴露于等离子体的时间和在基座台/基座上的偏压RF功率中的一个或多个来操控所述第一碳层的膜密度、所述第二碳层的膜密度或这两者。
10.根据权利要求1至9中任一项所述的方法,其中RF频率在约2.0MHz至约27.12MHz的范围内,并且基座台/基座上的RF偏压频率在约400kHz至约800kHz的范围内。
11.根据权利要求1至10中任一项所述的方法,其中在形成所述第一碳层、形成所述第二碳层或这两者的所述步骤中的一个或多个期间,反应空间内的温度小于100℃。
12.根据权利要求1至11中任一项所述的方法,其中形成所述第一碳层的所述步骤包括将至少一种前体暴露于具有约50W至约300W的射频(RF)功率的等离子体。
13.根据权利要求1至12中任一项所述的方法,其中形成所述第二碳层的所述步骤包括将至少一种前体暴露于具有约50W至约300W的射频(RF)功率的等离子体。
14.根据权利要求1至13中任一项所述的方法,其中所述至少一个额外层包括一个或多个氧化硅层或一个或多个氮化硅层,或这两者。
15.根据权利要求1至14中任一项所述的方法,其中所述第一碳层是不使用等离子体处理或使用弱等离子体处理而形成的,使得所述碳层具有高流动性和低蚀刻选择性。
16.根据权利要求15所述的方法,其中所述弱等离子体处理包括具有约50W至约300W的射频(RF)功率的等离子体处理。
17.根据权利要求15所述的方法,其中所述弱等离子体处理包括约1.0秒至约10.0秒的暴露于等离子体的时间。
18.根据权利要求1至15中任一项所述的方法,其中所述第二碳层是使用具有强等离子体处理的等离子体处理形成的。
19.根据权利要求18所述的方法,其中所述强等离子体处理包括具有约100W至约800W的射频(RF)功率的等离子体处理。
20.根据权利要求18所述的方法,其中所述强等离子体处理包括约5.0秒至约30.0秒的暴露于等离子体的时间。
21.根据权利要求1至20中任一项所述的方法,其中在部分地移除所述第二碳层的步骤之后,所述第二碳层的至少一部分保留在所述凹部内。
22.根据权利要求21所述的方法,其中所述第二碳层的保留在所述凹部内的所述部分具有与限定凹部开口的表面基本上共面的顶部。
23.根据权利要求1至22中任一项所述的方法,其中部分地移除所述第一碳层、部分地移除所述第二碳层或这两者包括用例如氧气(O2)或N2O的含氧气体或例如氢气(H2)或NH3的含氢气体中的一种或多种进行等离子体蚀刻。
24.一种结构,其包含通过根据权利要求1至23中任一项所述的方法制造的高纵横比特征。
25.一种系统,其执行根据权利要求1至24中任一项所述的方法和/或形成根据权利要求24所述的结构。
CN202110035620.9A 2020-01-16 2021-01-12 形成高纵横比特征的方法 Pending CN113140503A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062962035P 2020-01-16 2020-01-16
US62/962,035 2020-01-16

Publications (1)

Publication Number Publication Date
CN113140503A true CN113140503A (zh) 2021-07-20

Family

ID=76810068

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110035620.9A Pending CN113140503A (zh) 2020-01-16 2021-01-12 形成高纵横比特征的方法

Country Status (4)

Country Link
US (1) US20210225642A1 (zh)
JP (1) JP2021114602A (zh)
KR (1) KR20210093163A (zh)
CN (1) CN113140503A (zh)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544855B1 (en) * 2001-10-19 2003-04-08 Infineon Technologies Ag Process flow for sacrificial collar with polysilicon void
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
KR20210087544A (ko) * 2018-11-30 2021-07-12 램 리써치 코포레이션 원자 층 증착 또는 화학 기상 증착을 위한 방법 및 장치

Also Published As

Publication number Publication date
KR20210093163A (ko) 2021-07-27
US20210225642A1 (en) 2021-07-22
JP2021114602A (ja) 2021-08-05

Similar Documents

Publication Publication Date Title
CN113140503A (zh) 形成高纵横比特征的方法
US11289326B2 (en) Method for reforming amorphous carbon polymer film
CN112593212B (zh) 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11626316B2 (en) Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
TWI839544B (zh) 形成形貌受控的非晶碳聚合物膜之方法
US11643724B2 (en) Method of forming structures using a neutral beam
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
CN113215550A (zh) 形成结构的方法、形成的结构及形成该结构的系统
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
TW201631660A (zh) 氮化鈦移除
TW201839849A (zh) 具有選擇性阻隔層的結構
CN114864478A (zh) 填充衬底表面上的凹部的方法、系统及结构
US11827981B2 (en) Method of depositing material on stepped structure
US11705333B2 (en) Structures including multiple carbon layers and methods of forming and using same
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
US20220178023A1 (en) Method of forming a structure including silicon-carbon material, structure formed using the method, and system for forming the structure
CN116356294A (zh) 形成低k材料层的方法、包括该层的结构及其形成系统
TW202229625A (zh) 形成圖案化特徵之方法、半導體結構、半導體裝置、及處理系統
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
CN115216769A (zh) 用可流动碳层填充间隙的方法
CN118280912A (zh) 形成间隙填充材料的方法及相关装置和结构

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination