JP7366072B2 - 薄膜の応力を軽減するためのインシトゥ高電力注入 - Google Patents

薄膜の応力を軽減するためのインシトゥ高電力注入 Download PDF

Info

Publication number
JP7366072B2
JP7366072B2 JP2020570738A JP2020570738A JP7366072B2 JP 7366072 B2 JP7366072 B2 JP 7366072B2 JP 2020570738 A JP2020570738 A JP 2020570738A JP 2020570738 A JP2020570738 A JP 2020570738A JP 7366072 B2 JP7366072 B2 JP 7366072B2
Authority
JP
Japan
Prior art keywords
hard mask
carbon hard
diamond
power
electrostatic chuck
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020570738A
Other languages
English (en)
Other versions
JPWO2019245735A5 (ja
JP2021527340A (ja
Inventor
エスワラナンド ベンカタサブラマニアン,
プラミット マンナ,
アビジット ビー. マリック,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021527340A publication Critical patent/JP2021527340A/ja
Publication of JPWO2019245735A5 publication Critical patent/JPWO2019245735A5/ja
Application granted granted Critical
Publication of JP7366072B2 publication Critical patent/JP7366072B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Description

[0001]本開示の実施形態は、概して、集積回路の製造に関する。より詳細には、本明細書に記載される実施形態は、パターニング用途のための高密度膜の堆積のための技法を提供する。
[0002]ハードマスクは、NANDデバイス及びダイナミックランダムアクセスメモリ(DRAM)デバイスを製造するために使用される。ハードマスクは、通常、リソグラフィパターニングにおいて犠牲層として使用され、エッチングプロセスを通して、半導体デバイスの材料層のうちの1つ又は複数の上に特徴のパターニングを可能にする。パターニングされた特徴は、例えば、NANDデバイス及びDRAMデバイスを動作可能にするトランジスタ及び相互接続を形成しうる。
[0003]ハードマスク材料のいくつかの重要な特性は、例えば、耐エッチング性及び圧縮応力である。理想的なハードマスクは、エッチングされる層(以下、「下層」)と比較して、エッチングプロセスにおいて使用されるエッチャントに対して高い耐エッチング性を有する。したがって、下層は、ハードマスクよりもはるかに速い速度でエッチングされうる。理想的なハードマスクはまた、圧縮応力が低い。圧縮応力がより低いことで、ハードマスク堆積後の望ましくない基板の反りが排除され、更なるデバイス製造が困難になりうる。
[0004]ハードマスクのエッチング選択性を高めるために、高密度炭素膜とドープされた炭素膜が開発された。これらの新しい膜の課題の1つは、高密度炭素膜が高い圧縮応力を示し、これが望ましくない基板の反りをもたらすことである。
[0005]したがって、ハードマスク材料の圧縮応力を維持又は低減しつつ、増加したエッチング選択性を示す、ハードマスクを形成する改良された方法が当技術分野で必要とされる。
[0006]本開示の実施形態は、概して、パターニング用途のための高密度膜の堆積のための技法に関する。1つの実施形態では、基板を処理する方法が提供される。この方法は、基板の上に形成された膜積層体の上に炭素ハードマスクを堆積することであって、基板が、処理チャンバ内に配置された静電チャックの上に位置付けられる、炭素ハードマスクを堆積することと、炭素ハードマスクにイオンを注入することであって、炭素ハードマスクを堆積することと、炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で実行される、イオンを注入することと、炭素ハードマスクが所定の厚さに達するまで、炭素ハードマスクを堆積することと、炭素ハードマスクにイオンを注入することとを周期的に繰り返すこととを含む。
[0007]別の実施形態では、基板を処理する方法が提供される。この方法は、基板の上に炭素ハードマスクを堆積することであって、炭素ハードマスクが、プラズマを生成するために基板が位置付けられる静電チャックにRFバイアスを印加することによって堆積される、炭素ハードマスクを堆積することと、基板の上に炭素ハードマスクを堆積しつつ、RFバイアスを使用して、プラズマから炭素ハードマスクにイオンを注入することであって、炭素ハードマスクを堆積することと、炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で同時に実行される、イオンを注入することとを含む。
[0008]更に別の実施形態では、基板を処理する方法が提供される。この方法は、第1の電力を有する第1のRF電力を、第1の電極を介して静電チャックに印加することによって、基板の上に形成された膜積層体の上にダイヤモンド状の炭素ハードマスクを堆積することであって、第1の電極が配置される静電チャックの上に基板が位置付けられる、ダイヤモンド状の炭素ハードマスクを堆積することと、ダイヤモンド状の炭素ハードマスクにイオンを注入することであって、ダイヤモンド状の炭素ハードマスクを堆積することと、ダイヤモンド状の炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で実行される、イオンを注入することと、ダイヤモンド状の炭素ハードマスクが所定の厚さに達するまで、ダイヤモンド状の炭素ハードマスクを堆積することと、ダイヤモンド状の炭素ハードマスクにイオンを注入することとを周期的に繰り返すことと、ダイヤモンド状の炭素ハードマスクをパターニングすることと、パターニングされたダイヤモンド状の炭素ハードマスクを使用して、膜積層体をエッチングすることと、ダイヤモンド状の炭素ハードマスクを除去することとを含む。
[0009]本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている実施形態のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が本開示の典型的な実施形態のみを例示しており、よって本開示の範囲を限定すると見なすべきではないことに留意されたい。
[0010]本開示の実施形態による、膜積層体の上にハードマスクを形成するための方法を示すフロー図である。 本開示の実施形態による、膜積層体の上にハードマスクを形成するための方法を示すフロー図である。 [0011]A-Fは、図1A及び図1Bの方法によるハードマスク形成シーケンスを示す積層体の概略断面図である。 [0012]本開示の実施形態による、膜積層体の上にハードマスクを堆積するための方法を示すフロー図である。 本開示の実施形態による、膜積層体の上にハードマスクを堆積するための方法を示すフロー図である。 [0013]本開示の1つ又は複数の実施形態に従って形成されたダイヤモンド状の炭素層の(1)バイアス電力の関数としての応力、及び(2)バイアス電力の関数としての密度を示すグラフである。 [0014]本開示の1つ又は複数の実施形態に従って形成されたダイヤモンド状の炭素層の注入適用量の関数としての応力を示すグラフである。 [0015]本明細書に記載の方法を実施するために使用されうる例示的な処理の概略断面図である。
[0016]理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。1つの実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると想定される。
[0017]本明細書に記載される実施形態は、高密度(例えば、>1.8g/cc)、高弾性率(例えば、>150GPa)、及び低応力(例えば、<-500MPa)を有する炭素膜を製造する、改善された方法を含む。特に、堆積チャンバ内で硬度が増加し応力が減少した高密度炭素膜を形成するためのインシトゥ(その場)堆積-注入プロセスが開示される。インシトゥ堆積-注入プロセスは、堆積及び注入プロセスがエクスシトゥ(ex-situ(別の場所))で実行された場合に遭遇したであろうイオン浸透閾値に限定されることなく、炭素膜が任意のターゲット厚さで形成できるようにするために、周期的に又は同時に実行されうる。本明細書に記載された実施形態に従って製造された炭素膜は、本質的にアモルファスであり、従来のパターニング膜よりも低い応力(<-500MPa)と共に、はるかに大きい弾性率(例えば、>150GPa)を有する、より高いエッチング選択性を有する。本明細書に記載された実施形態に従って製造された炭素膜は、低い応力を有するだけでなく、高いsp炭素含有量を有する(例えば、ダイヤモンド状の膜)。概して、本明細書に記載される堆積プロセスはまた、ハードマスク用途の現行の集積化スキームに完全に互換性がある。
[0018]本開示では高密度炭素膜について論じるが、本開示の様々な実施形態はまた、任意の膜の膜応力、密度、又はヤング率を改善するためにも使用されうると企図される。加えて、本開示の態様は、NANDフラッシュアプリケーション、DRAMアプリケーション、又はCMOSアプリケーションなどの様々な半導体プロセスで用いられるような、ハードマスク又は保護犠牲層を利用する、自己整合型三重パターニング(SATP)プロセス、自己整合型四重パターニング(SAQP)プロセス、ビア/ホール縮小プロセス、バックエンドオブライン(BEOL)といった、任意の堆積プロセス又はパターニングスキームに適用可能であることが企図される。
[0019]本明細書に記載される実施形態は、任意の適切な薄膜堆積システムを使用して実行されうるPECVDプロセスを参照して以下に記載されることになる。適切なシステムの例は、DXZ(登録商標)処理チャンバ、PRECISION 5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GT(商標)システム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SE(商標)システム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバを使用しうるCENTURA(登録商標)システムを含み、これらはすべて、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されている。PECVDプロセスを実行することができる他のツールも、本明細書に記載の実施形態から利益を得るように適合されうる。加えて、本明細書に記載のPECVDプロセスを可能にする任意のシステムを使用することができる。
[0020]図1A及び図1Bは、本開示の実施形態による、基板上に配置された膜積層体上にハードマスクを形成するための方法100を示すフロー図である。図2A-2Fは、方法100によるハードマスク形成シーケンスを示す積層体200の概略断面図である。ハードマスクは、上述したダイヤモンド状の炭素層であってもよく、3次元半導体デバイスのための膜積層体内に階段状の構造を製造するために、又は任意の適切なデバイス製造用途に利用することができる。図1A及び図1Bに示す工程は、同時に、及び/又は図1A及び図1Bに示す順序と異なる順序で、実行されうると理解すべきである。
[0021]方法100は、図2Aに示す積層体200などの積層体を、PECVDチャンバなどの処理チャンバ内に位置付けることによって、工程102で開始する。積層体200は、PECVDチャンバ内に配置された静電チャック上に位置付けられうる。しかしながら、静電チャックを取り換えるために、任意の適切な基板支持体が使用されてもよい。積層体200が静電チャック上に位置付けられると、静電チャックにチャッキング電圧(一定であるか又はパルス化されたかのいずれか)が印加され、基板202を静電チャックにクランプする。積層体200は、基板202と、その上に配置された1つ又は複数の層とを含む。図示された例では、基板202は、その上に配置された膜積層体204を有する。基板202は、必要に応じて、シリコン系材料又は任意の適切な絶縁材料若しくは導電性材料でありうる。例えば、基板202は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコン基板とパターニングされた又はパターニングされていない基板シリコンオンインシュレータ(SOI)、炭素でドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料でありうる。基板202は、200mm、300mm、及び450mm、又は他の直径、並びに長方形又は正方形のパネル形状など、様々な寸法を有しうる。別途記載されない限り、本明細書に記載される実施形態及び実施例は、200mm直径、300mm直径、又は450mm直径を有する基板上で実行される。SOI構造が基板202に利用される実施形態では、基板は、シリコン結晶性基板上に配置された埋め込み誘電体層を含みうる。本明細書に描かれている実施形態では、基板202は結晶シリコン基板であってもよい。
[0022]膜積層体204は、単一の層であってもよく、又は複数の垂直に積層された層であってもよい。例えば、膜積層体204は、膜積層体204内に繰り返し形成された第1の層(図示せず)と第2の層(図示せず)の複数の対を含みうる。その対は、第1の層及び第2の層の対が所望の数に達するまで繰り返し形成される交互の第1の層及び第2の層を含む。膜積層体204は、3次元メモリチップなどの半導体チップの一部でありうる。1つの実施形態では、膜積層体204は、3次元メモリチップのための複数のゲート構造を形成するために利用される。このような場合、膜積層体204内に形成される第1の層は、第1の誘電体層であり、膜積層体204内に形成される第2の層は、第2の誘電体層でありうる。第1の層及び第2の層に適切な誘電体層は、とりわけ、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化チタン、酸化物及び窒化物の複合物、窒化物層を挟む少なくとも1つ又は複数の酸化物層、及びそれらの組合せを含みうる。いくつかの実施形態では、第1及び第2の誘電体層の1つ又は両方は、4より大きい誘電率を有する高誘電率材料でありうる。高誘電率材料の好適な例は、とりわけ、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO)、二酸化タンタル(TaO)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)を含む。膜積層体204は、約100Åと約2000Åとの間の全体の厚さを有しうる。1つの実施形態では、膜積層体204の全体の厚さは、約3ミクロンから約10ミクロンであり、技術が進歩するにつれて変化することになる。
[0023]工程102中に、いくつかのプロセスパラメータが調整されうる。300mm基板を処理するのに適した1つの実施形態では、処理空間(processing volume)内の処理圧力は、約0.1mTorrから約10Torr(例えば、約2mTorrから約50mTorr、又は約5mTorrから約20mTorr)に維持されうる。処理温度及び/又は基板温度は、約-50℃から約350℃(例えば、約0℃から約50℃、又は約10℃から約20℃)に維持されうる。
[0024]工程104では、炭化水素含有ガスが処理チャンバに流入される。炭化水素含有ガスは、ガス分配アセンブリ(静電チャック上方の処理チャンバの上部に配置される)を通してか、又は処理チャンバの側壁を介してかのどちらかで、処理チャンバに流入されうる。炭化水素含有ガスは、少なくとも1つの炭化水素化合物を含みうる。炭化水素化合物は、任意の液体又は気体でありうる。1つの実施形態では、炭化水素化合物は気体の炭化水素である。別の実施形態では、炭化水素化合物は、最初は液体であってもよく、気化器若しくはバブラー、又は他の液体前駆体供給システムを介して処理空間に供給されてもよい。
[0025]1つの実施形態では、炭化水素化合物は、一般式CxHyを有し、式中、xは1と20との間の範囲を有し、yは1と20との間の範囲を有する。適切な炭化水素化合物は、例えば、アセチレン(C)、エチレン(C)、エタン(C)、プロピン(C)、プロピレン(C)、プロパン(C)、ブタン(C10)、メタン(CH)、ブチレン(C)、ブタン(C10)、ペンタン(C12)、ヘキサン(C14)、アダマンチン(C1016)、ノルボルネン(C10)、又はそれらの組み合わせを含みうる。Cは、より安定した中間種の形成のために有利であり、より高い表面可動性を可能にする。
[0026]1つの実施形態では、炭化水素化合物は、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、フェニル酢酸、フェノール、クレゾール、フランなどの芳香族炭化水素化合物、アルファ-テルピネン、シメン、1,1,3,3-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテル、式C及びCを有する化合物、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼン、ヘキサフルオロベンゼンを含むハロゲン化された芳香族化合物、又はそれらの組合せである。場合によっては、酸素及びハロゲン化された前駆体を含有する炭化水素化合物は必要とされない。
[0027]いくつかの実施形態では、アルゴン(Ar)及び/又はヘリウム(He)などの不活性ガスが、炭化水素含有ガスと共に処理チャンバ内に供給されうる。ダイヤモンド状の炭素層の密度及び堆積速度を制御するために、その他の不活性ガス(窒素(N)及び一酸化窒素(NO)など)も使用されうる。
[0028]いくつかの実施形態では、炭化水素含有ガスは、1つ又は複数の希釈ガスを更に含んでもよい。適切な希釈ガスは、限定されないが、ヘリウム(He)、アルゴン(Ar)、キセノン(Xe)、水素(H)、窒素(N)、アンモニア(NH)、一酸化窒素(NO)、又はこれらの組み合わせを含みうる。ダイヤモンド状の炭素層の密度及び堆積速度を制御するために、Ar、He、及びNが使用されうる。場合によっては、ダイヤモンド状の炭素層の水素比(例えば、炭素対水素の比)を制御するために、N及び/又はNHを追加することが用いられうる。
[0029]工程106では、処理チャンバ内で混合ガスからプラズマを発生させて、図2Bに示すように、膜積層体204上にハードマスク206を形成する。プラズマは、第1のRF電力(バイアス又はソース)を静電チャックに印加することによって生成されうる。第1のRF電力は、約0.4MHzから約300MHzの周波数、例えば約11MHzから約60MHzで、約1キロワットから約10キロワットでありうる。1つの実施形態では、第1のRF電力は、約2キロワット、周波数約13.56MHzで供給される。第1のRF電力は、静電チャック内に配置された第1の電極を介して、RF電力発生器から静電チャックに供給されうる。場合によっては、第1の電極はまた、チャック電源と電子的に連通していてもよく、この電源は、静電チャックの上面に基板202を静電的に固定するための直流(DC)電力を供給する。
[0030]加えて又は代替的には、第1のRF電力は、静電チャックの反対側の処理チャンバの上部に配置されるシャワーヘッドなどの上部電極に印加されてもよい。いくつかの実施形態では、第1のRF電力は、上部電極、底部電極(例えば、第1の電極)、及び処理チャンバの一部を囲むICPコイルのうちの少なくとも1つに印加されてもよい。ICPコイルは、プラズマを形成するために、又は処理チャンバ内のプラズマの均一性を調整するために使用されうる。電力スキームに応じて、上部電極、底部電極、及びICPコイルに同時に電力を供給することができ、3つのうちの2つに同時に電力を供給することもできる。印加されるRF周波数は、数百kHzから数十MHzまでの範囲とすることができる。基板上に入射するイオンフラックス及びエネルギーを最適化するために、複数の周波数を上部電極又は底部電極に印加することもできるだろう。
[0031]1つの実施形態では、ハードマスク206は、上述したようなダイヤモンド状の炭素膜である。ハードマスク206は、膜積層体204の上にブランケット堆積プロセスによって堆積されうる。ハードマスク206は、基板202上に存在する膜積層体204の有無にかかわらず、基板202の任意の表面又は任意の部分上に形成されうることに留意されたい。いくつかの実施形態では、工程102中に確立されたプロセス条件は、工程104及び106中に維持される。1つの実施形態では、ハードマスク206の形成中の処理チャンバの圧力は、約2mTorrから約20mTorrに維持される。
[0032]工程108では、図2Cに示すように、イオン注入プロセスが処理チャンバ内で実行され、ハードマスク206を処理する。1つの実施形態では、イオン注入プロセスは、ハードマスク206の堆積プロセス(すなわち、工程106)が実行されたのと同じ処理チャンバ内で、インシトゥ(その場)で実行される。工程108の間、炭化水素含有ガスの流れは中断されるが、工程104の間に供給された不活性ガス及び/又は希釈ガスは維持されうる。一方で、工程106中に静電チャックに印加された第1のRF電力は、プラズマを維持するのに十分な最小レベルにまで低減される(すなわち、工程106及び108中にプラズマは連続的である)。例えば、第1のRF電力は、約2キロワットから約200ワットまで低減されうる。
[0033]イオン注入プロセスは、不活性ガスの連続流からのイオン、及びプラズマ中に依然として残っている炭化水素含有ガスからのイオンなどのイオンを注入することによって実行される。いくつかの実施形態では、イオンは、直流(DC)バイアス電圧を使用してハードマスク206に注入される。DCバイアス電圧は、減少した第1のRF電力の上部に重ねられる。特に、DCバイアス電圧は、高い単一エネルギーイオンをハードマスク206内に駆動する。DCバイアス電圧は、第2の電極を介して静電チャックに供給されうる。第2の電極は、静電チャック内に配置され、バイアス電圧を第2の電極に供給するDC電源と電気的に連通しうる。あるいは、DCバイアス電圧は、チャック電源から第1の電極に供給されうる。いずれにせよ、DCバイアス電圧は、約2キロボルトから約15キロボルトの間でありうる。1つの実施形態では、DCバイアス電圧は、約5キロボルトと約12キロボルトとの間、例えば約8キロボルトである。DCバイアス電圧は高いので、イオン注入プロセス中にDCバイアス電圧をパルス化できる。様々な実施形態では、DCバイアス電圧のパルス幅は、約1マイクロ秒から約1ミリ秒のオーダーでありうる。いくつかの実施形態では、DCバイアス電圧は、約5マイクロ秒から約30ミリ秒のパルス幅で、10Hzから約10kHzのパルス周波数で印加される。
[0034]いくつかの実施形態では、イオンは、第2のRF電力(バイアス又はソース)を使用してハードマスク206に注入される。同様に、第2のRF電力は、減少した第1のRF電力の上部に重ねられる。第2のRF電力は、静電チャック内に配置された第3の電極を介して、RF電力発生器から静電チャックに供給されうる。第2のRF電力は、約0.4MHzから約300MHz(例えば約11MHzから約60MHz)の周波数で、約1キロワットから約10キロワットでありうる。1つの実施形態では、第1のRF電力は、約2キロワット、周波数約13.56MHzで供給される。第2のRF電力は、イオン注入プロセス中にパルス化することができる。例えば、第2のRF電力は、約1Hzから約50kHzの周波数で、約10%から約80%の範囲のデューティサイクルでパルス化することができる。
[0035]第2のRF電力が使用され、堆積種がまた注入種(例えば、炭化水素含有ガスからのH)としても作用する場合、低減された第1のRF電力及び第2のRF電力が時間的にオフセットされてもよく、よって、低減された第1のRF電力及びパルス化された第2のRF電力が同期する又は同期しないことにより、堆積段階と処理段階(すなわち、イオン注入)とが分離される。例えば、第2のRF電力及び低減された第1のRF電力の両方がオンである場合、イオンが加速されてハードマスク206に衝撃が起こり、ハードマスク206の堆積が最小化されうるが、これは、膜成長の大部分が低レベル(例えば、200ワット)で操作されている一次プラズマ(例えば、第1のRF電力)から生じるためである。したがって、イオン注入が支配的である。第2のRF電力がパルス化され、低減された第1のRF電力がオンになると、ハードマスク206の堆積が増加し、支配的なプロセスとなる。したがって、膜堆積が支配的である。
[0036]イオン注入プロセスがDCバイアス電圧を使用するか第2のRF電力を使用するかにかかわらず、ハードマスク206の堆積がほとんど又は全く起こらないだろうが、これは、堆積ガス(すなわち、炭化水素含有ガス)の流れがオフにされるためである。したがって、DCバイアス電圧又はパルス化された第2のRF電力のパルス化は、堆積段階と処理段階(すなわち、イオン注入プロセス)とを分離し、ハードマスク206の形成及び処理を周期的堆積-処理プロセスにする。イオン注入プロセスの間、アルゴン又はヘリウムイオンなどの不活性ガスの連続流からのイオン、及びプラズマ中に残存する炭化水素含有ガスからのイオンは、DCバイアス電圧又は第2のRF電力によって引き寄せられ、又は駆動され、ハードマスク206に進む。DCバイアス電圧又は第2のRF電力は、ハードマスク206の表面にイオンを照射することによってハードマスク206を処理する役割を果たす。その結果、堆積されたハードマスク206内の応力が低減される。
[0037]イオン注入プロセスは、注入されたイオンが浸透閾値に達するまで実行されうるが、これは、注入されたイオンがハードマスク206を通って移動する際に、注入されたイオンが徐々にエネルギーを失うためである。浸透閾値は、イオンの浸透の深さによって決定されうる。あるいは、イオン注入プロセスは、所定の注入深さに達するまで実行されうる。所定の注入深さ又はイオン浸透閾値は、10ナノメートルと1マイクロメートルとの間の範囲であり、イオンの種類及びサイズ、並びにイオン207にエネルギー供給するために利用されるバイアス電圧に応じて変化しうる。
[0038]注入エネルギーは、所望の注入深さに応じて、約0.5keVと約60keVとの間、例えば約6keVと約45keVとの間でありうる。イオン適用量は、約1×1013cm-2から約1×1017cm-2の範囲、例えば、約5×1016cm-2でありうる。非常に低い圧力(例えば、2mTorrから約20mTorr)により、非常に高いエネルギーのイオンが、ハードマスク206の表面を処理/注入できるようにする。特定の理論に束縛されるものではないが、注入されたイオンは、ハードマスク206のダングリング炭素-水素結合から残留水素原子を引き抜き、ハードマスク206内に炭化物構造を形成することができると考えられる。炭化物組織は、未処理のハードマスクと比較すると、硬度が増加したことを示す。また、注入されたイオンは、ハードマスク206内に存在する侵入型のボイドを占め、その結果、ハードマスク206の密度が増加する可能性があると考えられる。密度が増加すると、ハードマスク206の機械的完全性が更に増加する。ハードマスク206の硬度及び密度が増加すると、機械的に堅牢なハードマスク206を提供することができ、次に、エッチング選択性の改善及び内部応力の低減につながる。その結果、望ましくない基板の変形が解消される。
[0039]インシトゥ堆積-注入プロセスは、注入プロセスが、ハードマスク堆積が実行された同じ処理チャンバ内で実行されるので、有益である。したがって、ハードマスク206は、真空を破壊しエクスシトゥ注入ツールに移す必要なく、堆積及び処理することができる。その結果、全体的なスループットが改善され、エクスシトゥ注入ツールに関連するコストが削減される。更に、注入されたイオンは浸透閾値を有しているので、エクスシトゥで処理可能なハードマスクの厚さは制限される。インシトゥ堆積/イオン注入プロセスでは、ハードマスクの堆積及び処理は、ハードマスクを、同じ処理チャンバ内の潜在的に任意のターゲット厚さに調整するために周期的に実行することができる。
[0040]工程110では、処理された堆積ハードマスク206がターゲット厚さに到達したかどうかを判定するための判定が行われる。ハードマスク206は、膜積層体204の後続のエッチング要件に対応するターゲット厚さを有しうる。1つの例では、ハードマスク206のターゲット厚さは、約0.5μmと約1.5μmとの間(約1.0μmなど)である。ハードマスク206のターゲット厚さに達していない場合、処理されたハードマスク206の厚さが再びターゲット厚さと比較される前に、堆積/イオン注入プロセスの別のサイクル(例えば、工程104、106、及び108)が実行されうる。注入されたイオンが所定の注入又は浸透深さに達するが、ハードマスク206がまだ所望の厚さに達していない場合のいくつかの実施形態では、処理されたハードマスク206の厚さが再びターゲット厚さと比較される前に、堆積/イオン注入プロセスの別のサイクル(例えば、工程104、106、及び108)を実行することができる。インシトゥハードマスク堆積及びイオン注入の周期的プロセスは、堆積されたハードマスク206がターゲット厚さに達するまで繰り返される。
[0041]工程112において、ハードマスク206がターゲット厚さに達すると、図2Dに示されるように、パターニングされたフォトレジスト層208が処理されたハードマスク206の上に形成される。特徴又はパターンは、光エネルギーなどのエネルギー源を利用するフォトマスクからフォトレジスト208に転写されうる。1つの実施形態では、フォトレジストは、ポリマー材料であり、パターニングプロセスは、193nmの液浸フォトリソグラフィプロセス、又は他の類似のフォトリソグラフィプロセスによって実行される。同様に、パターニングプロセスを実行するためにレーザも利用されうる。
[0042]工程114において、処理されたハードマスク206は、例えば、フォトリソグラフィプロセス及び1つ又は複数のエッチングプロセスによってパターニングされ、図2Eに示されるように、特徴をフォトレジスト208からハードマスク206に転写する。エッチングプロセスは、プラズマエッチングチャンバのような、任意の適切なエッチングチャンバで実行されうる。その後、フォトレジスト層208は、アッシングプロセス又は湿式エッチングプロセスなどの任意の適切なプロセスによって除去される。
[0043]工程116では、図2Fに示されるように、パターニングされたハードマスク206を使用して、膜積層体204がエッチングされる。膜積層体204のエッチングは、プラズマエッチングチャンバのような任意の適切な処理チャンバ内で実行されうる。膜積層体204の曝露部分を除去するために、フッ化炭素のようなエッチャントが使用されうる。エッチャントの活性種は、ハードマスク206の注入イオンと実質的に反応しないように選択的である。したがって、エッチャントは、膜積層体204に対して選択的である。エッチャントの適切な例は、CF、CHF、HBr、BCl、又はClを含むが、これらに限定されない。エッチャントは、不活性キャリアガスと共に提供されうる。次に、ハードマスク206は、任意の適切なハードマスク除去プロセスを使用して除去される。例えば、ハードマスク206を除去するために、酸素プラズマが利用されうる。得られた積層体200は、高アスペクト比特徴などの特徴が形成された膜積層体204を含む。次に、得られた積層体200に更なる処理を施して、機能性半導体デバイスが形成されうる。
[0044]図3A及び図3Bは、本開示の実施形態による、基板上に配置された膜積層体上にハードマスクを堆積するための方法300のフロー図を示す。方法300は、図2Aから図2Fに示すように、積層体200を処理するために使用することができる。したがって、方法300は、図2Aから図2Fに関して説明されることになる。同様に、堆積されたハードマスクは、上述したようにダイヤモンド状の炭素層であってもよく、3次元半導体デバイスのための膜積層体内の階段状構造、又は任意の適切なデバイス製造用途の製造に利用することができる。図3A及び図3Bに示す工程は、同時に、及び/又は図3A及び図3Bに示す順序と異なる順序で、実行されうると理解すべきである。
[0045]方法300の工程302及び304は、工程102及び104と類似しており、したがって、簡潔にする目的で説明しない。そのように、積層体200は、図2Aに示されるように、基板202の上に堆積された膜積層体204で形成される。工程306では、ハードマスク堆積とイオン注入処理の同時プロセスが処理チャンバ内で実行され、図2Bに示すように、膜積層体204上にハードマスク206を形成する。同時プロセスは、混合ガス(例えば、炭化水素含有ガス及び不活性ガス/希釈ガス)から処理チャンバ内にプラズマを発生させて、膜積層体204上にハードマスク206の層を形成することによって実行されうる。プラズマは、静電チャックに高電圧RFバイアスを印加することによって、基板レベルで発生しうる。高電圧RFバイアスは、約0.4MHzから約300MHz(例えば約11MHzから約60MHz)の周波数において、約1キロワットから約15キロワットの範囲内でありうる。1つの実施形態では、高電圧RFバイアスは、約8キロワット、約13.56MHzの周波数で供給される。
高電圧RFバイアスは、静電チャック内に配置された第3の電極を介して、RF電力発生器から静電チャックに供給されうる。
[0046]膜積層体204上にハードマスク206を堆積する間、静電チャックに印加される高電圧RFバイアスはまた、成長するハードマスク206に向かって移動する混合ガス(すなわち、炭化水素含有ガス、不活性ガス/希釈ガス)からイオンを引き付けるための注入エネルギーとして働くことができる。したがって、ハードマスク206のイオン注入処理は、図2Cに示すように、ハードマスク堆積と同時に実行される。イオンは、高電圧RFバイアスによって引き付けられ、加速されて、ハードマスク206の表面に衝撃を与え、これによってハードマスク206の応力を減少させる。
[0047]いくつかの実施形態では、DCバイアス電圧を静電チャックに付加的に印加して、イオン注入処理を容易にすることができる。混合ガス(すなわち、炭化水素含有ガス、不活性ガス/希釈ガス)からのイオンは、DCバイアス電圧によって引き付けられ、加速されて、ハードマスク206の表面に衝撃を与え、これによってハードマスク206の応力を減少させうる。DCバイアス電圧は、第2の電極を介して静電チャックに供給することができ、第2の電極は、図1A及び図1Bに関して上述したように、静電チャック内に配置され、DC電源と電気的に連通しうる。あるいは、DCバイアス電圧は、チャック電源から第1の電極に供給されうる。バイアス電圧は、約1キロボルトと約15キロボルトとの間でありうる。1つの実施形態では、DCバイアス電圧は、約2キロボルトと約6キロボルトとの間である。別の実施形態では、バイアス電圧は、約8キロボルトと約10キロボルトとの間である。工程108に類似して、注入エネルギーは、所望の注入深さに応じて、約0.5keVと約60keVとの間(例えば約6keVから約45keV)でありうる。イオン適用量は、約1×1013cm-2から約1×1017cm-2の範囲、例えば、約5×1016cm-2でありうる。
[0048]いずれの場合も、混合ガス(例えば、炭化水素含有ガス及び不活性ガス/希釈ガス)の流れは、工程308を通して連続的である。したがって、ハードマスク堆積に使用される堆積種(例えば、炭素、水素などのイオン又は中性種)はまた、イオン注入処理のための注入種としても機能することができる。ハードマスク堆積及びイオン注入処理のインシトゥプロセスは、ハードマスク堆積及びイオン注入プロセスが短いデューティサイクルRF又はパルスDC電圧によって分離される実施形態と比較して、ハードマスク206を同時にかつより効率的に堆積し処理できるようにする。
[0049]工程308では、堆積/処理されたハードマスク206がターゲット厚さに達したかどうかを判定するための判定が行われる。ハードマスク206は、膜積層体204の後続のエッチング要件に対応するターゲット厚さを有しうる。1つの例では、ハードマスク206のターゲット厚さは、約0.5μmと約1.5μmとの間(約1.0μmなど)である。ハードマスク206のターゲット厚さに達していない場合、処理されたハードマスク206の厚さが再びターゲット厚さと比較される前に、堆積/イオン注入プロセスの別のサイクル(例えば、工程304及び306)が実行されうる。ハードマスク堆積及びイオン注入処理のインシトゥ同時プロセスは、堆積/処理されるハードマスク206がターゲット厚さに達するまで繰り返される。
[0050]工程310、312、314、及び316は、工程112、114、116、及び118に類似しており、したがって、簡潔にする目的で説明しない。
[0051]図4は、図3A及び3Bに関して上述した1つ又は複数の実施形態に従って形成されたダイヤモンド状の炭素層(例えば、ハードマスク)について、(1)バイアス電力の関数としての応力(正方形によって表される)及び(2)バイアス電力の関数としての密度(点によって表される)を示すグラフ400である。x軸はバイアス電力(ワット)を表し、y軸は堆積膜の密度(g/cc)を表す。図4に示すように、ほとんどの部分について、バイアス電力が増加するにつれ、堆積時の膜の密度が増加する。特に、ダイヤモンド状の炭素層の応力は、より高いRF電力/イオンエネルギーでより低い。
[0052]図5は、本開示の1つ又は複数の実施形態に従って形成されたダイヤモンド状の炭素層(例えば、ハードマスク)の注入適用量の関数としての応力を示すグラフ500である。グラフ500は、約35keVの注入エネルギーを使用したダイヤモンド状の炭素膜の堆積時及びイオン注入後の応力データを示す。x軸は堆積膜の応力(MPa)を表し、y軸は注入適用量(A.U.)を表す。図5に示すように、堆積時の膜の応力は、イオン注入処理後に著しく減少する。
[0053]図6は、本明細書に記載される方法のいずれか1つ又は組み合わせを実施するために使用されうる例示的な処理の概略断面図である。処理チャンバ600は、チャンバリッドアセンブリ601と、1つ又は複数の側壁602と、チャンバベース604とを含む。チャンバリッドアセンブリ601は、チャンバリッド606と、チャンバリッド606内に配置されたシャワーヘッド607と、チャンバリッド606と1つ又は複数の側壁602との間に配置された電気絶縁リング608とを含む。シャワーヘッド607、1つ又は複数の側壁602、及びチャンバベース604はまとまって、処理空間605を画定する。チャンバリッド606を貫通して配置されたガス入口609は、ガス源610に流体連結されている。シャワーヘッド607は、それを貫通して配置された複数の開口部611を有しており、ガス源610から処理空間605内に処理ガスを均一に分配するために使用される。シャワーヘッド607は、RF電源のような第1の電源612に電気的に接続され、第1の電源612は、容量結合を通して、処理ガスのプラズマ613を点火し維持するための電力を供給する。他の実施形態では、処理チャンバ600は、誘導プラズマ発生器を備え、プラズマは、RF電力を処理ガスに誘導結合することを通して形成される。
[0054]処理空間605は、真空出口614を通って、1つ又は複数の専用真空ポンプなどの真空源に流体連結され、処理空間605が準大気条件に維持され、処理ガス及び他のガスがそこから排気される。処理空間605内に配置された基板支持体615は、チャンバベース604の下の領域でベローズ(図示せず)によって囲まれるように、チャンバベース604を通って密封的に延びる可動支持軸616上に配置される。本明細書では、処理チャンバ600は、通常、1つ又は複数の側壁602のうちの1つの側壁の開口部618を通して、基板617を基板支持体615との間で移送することを容易にするように構成され、開口部618は、通常、基板処理中にドア又はバルブ(図示せず)で密封される。
[0055]ここで、基板支持体615上に配置された基板617は、抵抗加熱素子619などのヒータと、基板支持体615内に配置された1つ又は複数の冷却チャネル620の一方又は両方を使用して、所望の処理温度に維持される。典型的には、1つ又は複数の冷却チャネル620は、比較的高い電気抵抗を有する修正された水源又は冷媒源などの冷却剤源(図示せず)に流体連結される。いくつかの実施形態では、基板支持体615又はその1つ又は複数の電極621は、バイアス電圧を供給する第2の電源622(連続波(CW)RF電源又はパルスRF電源など)に電気的に接続される。
[0056]処理チャンバ600は、処理チャンバ600の動作を制御し、本明細書に記載の方法を実施するために使用されるシステムコントローラ630を更に含む。システムコントローラ630は、メモリ632(例えば、不揮発性メモリ)及びサポート回路633と共に動作可能なプラグラム可能な中央処理装置(本明細書では中央処理装置(CPU631))を含む。サポート回路633は、CPU631に接続され、キャッシュ、クロック回路、入力/出力サブシステム、電源、及び処理チャンバ600の様々な構成要素に接続されたそれらの組合せを備え、それらの制御を容易にする。CPU631は、処理チャンバ600の様々な構成要素及びサブプロセッサを制御するための、プラグラム可能な論理制御装置(PLC)などの任意の形態の汎用コンピュータプロセッサのうちの1つである。CPU631に接続されたメモリ632は、非一時的であり、典型的には、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又は任意の他の形態のデジタルストレージ、ローカル又はリモートなどの、容易に利用可能なメモリのうちの1つ又は複数である。
[0057]典型的には、メモリ632は、CPU631によって実行されると、処理チャンバ600の動作を容易にする命令(例えば、不揮発性メモリ)を含むコンピュータ可読ストレージ媒体の形態である。メモリ632内の命令は、本開示の方法を実施するプログラムなどのプログラム製品の形態である。プログラムコードは、いくつかの異なるプログラミング言語のうちの任意の1つに適合しうる。1つの例では、本開示は、コンピュータシステムと共に使用するために、コンピュータ可読ストレージ媒体上に記憶されたプログラム製品として実装されうる。プログラム製品のプログラム(複数可)は、実施形態の機能(本明細書に記載された方法を含む)を規定する。
[0058]したがって、半導体デバイスを形成するために利用されうるダイヤモンド状の炭素ハードマスク層を形成するための方法が提供される。インシトゥハードマスク堆積-イオン注入プロセスを利用することによって、ハードマスク層は、真空を破壊しエクスシトゥ注入ツールに移す必要なく、堆積及び処理することができる。その結果、全体的なスループットが改善され、エクスシトゥ注入ツールに関連するコストが削減される。加えて、ハードマスクを同一の処理チャンバ内の潜在的に任意のターゲット厚さに調整するために、独立したプラズマ源又は1つの高電力(高電圧)プラズマ源のいずれかを使用して、ハードマスクの堆積及び処理を周期的に又は同時に実行することができる。更に、注入されたイオンは、ハードマスクの内部応力を維持又は低減しつつ、ハードマスクの機械的完全性及び密度を増加させるように機能する。ハードマスクの機械的完全性及び密度の増加により、ハードマスクがエッチングされた後の線の曲がりが低減され、ハードマスクの維持された又は低減された応力により、望ましくない基板の反り又は変形が低減される。組み合わせると、ハードマスクのエッチング選択性が増加する。
[0059]上記は本開示の実施形態を対象としているが、本開示の他の実施形態及び更なる実施形態が、その基本的な範囲から逸脱せずに考案されてもよく、その範囲は以下の特許請求の範囲によって決定される。

Claims (19)

  1. 基板を処理する方法であって、
    処理チャンバ内に配置された静電チャックに第1の電力レベルを有する第1のRF電力を印加して、前記静電チャックの上に位置付けられた基板の上に形成された膜積層体の上に炭素ハードマスクを堆積することと、
    前記炭素ハードマスクにイオンを注入することであって、前記炭素ハードマスクを堆積することと、前記炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で実行される、イオンを注入することと、
    前記炭素ハードマスクを前記膜積層体の上に堆積した後に、前記炭素ハードマスクを形成するための炭化水素含有ガスの流れを中断することと、
    前記第1のRF電力を、前記第1の電力レベルから、前記処理チャンバ内のプラズマを維持するのに十分な第2の電力レベルまで低減することと、
    前記炭素ハードマスクが所定の厚さに達するまで、前記炭素ハードマスクを堆積することと、前記炭素ハードマスクにイオンを注入することとを周期的に繰り返すことと
    を含む、方法。
  2. 前記炭素ハードマスクを堆積することが、前記第1のRF電力を、前記静電チャック内に配置された第1の電極を介して、前記静電チャックに印加することによって実行される、請求項1に記載の方法。
  3. 前記第1のRF電力が、0.4MHzから300MHzの周波数において、1キロワットから10キロワットの範囲内にある、請求項2に記載の方法。
  4. 前記炭素ハードマスクにイオンを注入することが、前記静電チャック内に配置された第2の電極を介して、前記静電チャックにDCバイアス電圧を印加することによって実行される、請求項1に記載の方法。
  5. 前記DCバイアス電圧が、2キロボルトから15キロボルトの範囲内にある、請求項に記載の方法。
  6. 前記DCバイアス電圧が、5マイクロ秒から30ミリ秒のパルス幅で、10Hzから10kHzのパルス周波数で供給される、請求項に記載の方法。
  7. 前記炭素ハードマスクにイオンを注入することが、前記静電チャック内に配置された第3の電極を介して、前記静電チャックに第2のRF電力を印加することによって実行される、請求項1に記載の方法。
  8. 前記第2のRF電力が、0.4MHzから300MHzの周波数において、1キロワットから10キロワットの範囲内にある、請求項に記載の方法。
  9. 前記第2のRF電力が、10%から80%の範囲内のデューティサイクルでパルス化される、請求項に記載の方法。
  10. 基板を処理する方法であって、
    基板の上に炭素ハードマスクを堆積することであって、プラズマを生成するために基板が位置付けられる静電チャックにRFバイアスを印加することによって、炭素ハードマスクを堆積することと、
    前記基板の上に前記炭素ハードマスクを堆積しつつ、前記RFバイアスを使用して、前記プラズマから前記炭素ハードマスクにイオンを注入することであって、前記炭素ハードマスクを堆積することと、前記炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で同時に実行される、イオンを注入することと
    を含む、方法。
  11. 前記RFバイアスが、0.4MHzから300MHzの周波数において、1キロワットから15キロワットの範囲内にある、請求項10に記載の方法。
  12. 前記RFバイアスが、6keVから45keVの注入エネルギーを供給する、請求項10に記載の方法。
  13. 前記基板の上に前記炭素ハードマスクを堆積しつつ、前記静電チャックにDCバイアス電圧を印加すること
    を更に含む、請求項10に記載の方法。
  14. 前記DCバイアス電圧が、1キロボルトから15キロボルトの範囲内にある、請求項13に記載の方法。
  15. 基板を処理する方法であって、
    第1の電力レベルを有する第1のRF電力を、第1の電極を介して静電チャックに印加することによって、基板の上に形成された膜積層体の上にダイヤモンド状の炭素ハードマスクを堆積することであって、第1の電極が配置される静電チャックの上に基板が位置付けられる、ダイヤモンド状の炭素ハードマスクを堆積することと、
    前記ダイヤモンド状の炭素ハードマスクにイオンを注入することであって、前記ダイヤモンド状の炭素ハードマスクを堆積することと、前記ダイヤモンド状の炭素ハードマスクにイオンを注入することとが、同じ処理チャンバ内で実行される、イオンを注入することと、
    前記ダイヤモンド状の炭素ハードマスクが所定の厚さに達するまで、前記ダイヤモンド状の炭素ハードマスクを堆積することと、前記ダイヤモンド状の炭素ハードマスクにイオンを注入することとを周期的に繰り返すことと、
    前記ダイヤモンド状の炭素ハードマスクをパターニングすることと、
    パターニングされた前記ダイヤモンド状の炭素ハードマスクを使用して、前記膜積層体をエッチングすることと、
    前記ダイヤモンド状の炭素ハードマスクを除去することと
    を含む、方法。
  16. 前記ダイヤモンド状の炭素ハードマスクにイオンを注入することが、
    前記ダイヤモンド状の炭素ハードマスクを前記膜積層体の上に堆積した後に、前記ダイヤモンド状の炭素ハードマスクを形成するための炭化水素含有ガスの流れを中断することと、
    前記第1のRF電力を、前記第1の電力レベルから、前記処理チャンバ内のプラズマを維持するのに十分な第2の電力レベルまで低減することと
    を更に含む、請求項15に記載の方法。
  17. 前記ダイヤモンド状の炭素ハードマスクにイオンを注入することが、前記静電チャックにパルス化されたDCバイアス電圧を印加することによって実行される、請求項15に記載の方法。
  18. 前記ダイヤモンド状の炭素ハードマスクにイオンを注入することが、前記静電チャックに第2のRF電力を印加することによって実行される、請求項15に記載の方法。
  19. 前記第2のRF電力が、10%から80%の範囲内のデューティサイクルでパルス化される、請求項18に記載の方法。
JP2020570738A 2018-06-22 2019-06-05 薄膜の応力を軽減するためのインシトゥ高電力注入 Active JP7366072B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862688721P 2018-06-22 2018-06-22
US62/688,721 2018-06-22
US16/430,136 US11158507B2 (en) 2018-06-22 2019-06-03 In-situ high power implant to relieve stress of a thin film
US16/430,136 2019-06-03
PCT/US2019/035497 WO2019245735A1 (en) 2018-06-22 2019-06-05 In-situ high power implant to relieve stress of a thin film

Publications (3)

Publication Number Publication Date
JP2021527340A JP2021527340A (ja) 2021-10-11
JPWO2019245735A5 JPWO2019245735A5 (ja) 2022-06-13
JP7366072B2 true JP7366072B2 (ja) 2023-10-20

Family

ID=68982131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020570738A Active JP7366072B2 (ja) 2018-06-22 2019-06-05 薄膜の応力を軽減するためのインシトゥ高電力注入

Country Status (7)

Country Link
US (2) US11158507B2 (ja)
JP (1) JP7366072B2 (ja)
KR (1) KR102589210B1 (ja)
CN (1) CN112219259A (ja)
SG (1) SG11202009993YA (ja)
TW (1) TWI818033B (ja)
WO (1) WO2019245735A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022529609A (ja) * 2019-04-15 2022-06-23 アプライド マテリアルズ インコーポレイテッド 静電チャックプロセス
WO2021225790A1 (en) * 2020-05-05 2021-11-11 Lam Research Corporation Inert gas implantation for hard mask selectivity improvement
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices
JP2022097936A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP2022187397A (ja) * 2021-06-07 2022-12-19 東京エレクトロン株式会社 成膜方法および成膜装置
JP2023120622A (ja) * 2022-02-18 2023-08-30 東京エレクトロン株式会社 成膜方法および成膜装置
JP2024004377A (ja) * 2022-06-28 2024-01-16 東京エレクトロン株式会社 炭素含有膜の形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008541485A (ja) 2005-05-17 2008-11-20 アプライド マテリアルズ インコーポレイテッド 光吸収層を低温プラズマ堆積させるプロセスおよび高速光アニーリング
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08102532A (ja) 1994-09-30 1996-04-16 Nippondenso Co Ltd イオン注入基板の製造方法
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP4515064B2 (ja) 2003-09-11 2010-07-28 学校法人鶴学園 炭素系薄膜用成膜装置,成膜装置,及び成膜方法
US8088295B2 (en) * 2008-01-22 2012-01-03 Hitachi Global Storage Technologies Netherlands B.V. Diamond-like carbon (DLC) hardmask and methods of fabrication using same
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20150200094A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
CN117219572A (zh) * 2016-12-23 2023-12-12 英特尔公司 高级光刻和自组装装置
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US11127594B2 (en) * 2017-12-19 2021-09-21 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008541485A (ja) 2005-05-17 2008-11-20 アプライド マテリアルズ インコーポレイテッド 光吸収層を低温プラズマ堆積させるプロセスおよび高速光アニーリング
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発

Also Published As

Publication number Publication date
CN112219259A (zh) 2021-01-12
US11158507B2 (en) 2021-10-26
KR20210011945A (ko) 2021-02-02
US20220037154A1 (en) 2022-02-03
JP2021527340A (ja) 2021-10-11
US20190393034A1 (en) 2019-12-26
SG11202009993YA (en) 2021-01-28
US11557478B2 (en) 2023-01-17
TW202015102A (zh) 2020-04-16
KR102589210B1 (ko) 2023-10-12
TWI818033B (zh) 2023-10-11
WO2019245735A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
JP7366072B2 (ja) 薄膜の応力を軽減するためのインシトゥ高電力注入
JP7326275B2 (ja) エッチング選択性の高いアモルファスカーボン膜
CN110622280B (zh) 用于硬掩模及其他图案化应用的高密度低温碳膜
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9640385B2 (en) Gate electrode material residual removal process
US20240087894A1 (en) High density carbon films for patterning applications
CN113302723B (zh) 半导体装置的制造方法
US20240162043A1 (en) Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification
JP2024096717A (ja) パターニング応用のための高密度炭素膜
KR20230054721A (ko) 게르마늄에 대한 확산 배리어들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220601

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220601

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231010

R150 Certificate of patent or registration of utility model

Ref document number: 7366072

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150