CN113302723B - 半导体装置的制造方法 - Google Patents
半导体装置的制造方法 Download PDFInfo
- Publication number
- CN113302723B CN113302723B CN202080007255.4A CN202080007255A CN113302723B CN 113302723 B CN113302723 B CN 113302723B CN 202080007255 A CN202080007255 A CN 202080007255A CN 113302723 B CN113302723 B CN 113302723B
- Authority
- CN
- China
- Prior art keywords
- conductive material
- hole
- film
- semiconductor device
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 76
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 60
- 238000000034 method Methods 0.000 title claims abstract description 53
- 239000004020 conductor Substances 0.000 claims abstract description 105
- 239000000758 substrate Substances 0.000 claims abstract description 18
- 238000003475 lamination Methods 0.000 claims abstract description 9
- 239000007789 gas Substances 0.000 claims description 67
- 238000005530 etching Methods 0.000 claims description 33
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 25
- 229910052721 tungsten Inorganic materials 0.000 claims description 23
- 239000010937 tungsten Substances 0.000 claims description 23
- 125000006850 spacer group Chemical group 0.000 claims description 16
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 14
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 5
- 238000011161 development Methods 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims description 4
- 238000010030 laminating Methods 0.000 claims description 3
- 230000015572 biosynthetic process Effects 0.000 abstract description 3
- 238000002955 isolation Methods 0.000 abstract description 2
- 210000002381 plasma Anatomy 0.000 description 25
- 230000000052 comparative effect Effects 0.000 description 24
- 238000001312 dry etching Methods 0.000 description 18
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 230000004888 barrier function Effects 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- 229910052814 silicon oxide Inorganic materials 0.000 description 11
- 239000000463 material Substances 0.000 description 10
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 230000003071 parasitic effect Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 3
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000020169 heat generation Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000002035 prolonged effect Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
- H10B12/02—Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
- H10B12/03—Making the capacitor or connections thereto
- H10B12/033—Making the capacitor or connections thereto the capacitor extending over the transistor
- H10B12/0335—Making a connection between the transistor and the capacitor, e.g. plug
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/7688—Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Memories (AREA)
Abstract
本发明提供半导体装置的制造方法,包括:平坦化工序、层叠工序、孔形成工序、埋入工序以及去除工序。在平坦化工序中,通过使在第一孔埋入有导电材料的基板的表面平坦化,使被埋入至第一孔的导电材料露出,其中,形成该第一孔的区域是在层叠在基板上的绝缘膜上的区域且是被隔离膜包围的区域内。在层叠工序中,在基板的表面层叠掩模膜。在孔形成工序中,以使被埋入至第一孔的导电材料的上表面的至少一部分露出的方式,在掩模膜形成第二孔。在第二埋入工序中,在第二孔埋入导电材料。在去除工序中,去除掩模膜。
Description
技术领域
本公开的各个方面以及实施方式涉及半导体装置的制造方法。
背景技术
例如,在下述专利文献1中公开了在DRAM(Dynamic Random Access Memory:动态随机存取存储器)等半导体装置的制造工序中,在用于连接电容器和扩散层的接触插塞上形成接触垫。通过接触垫,能够吸收由形成构成电容器的槽时的加工引起的槽的位置偏移。
专利文献1:美国专利申请公开第2018/0040561号说明书
发明内容
本公开提供一种半导体装置的制造方法,能够高精度地加工信号延迟少的半导体装置,并且能够提高半导体装置的生产率。
本公开的一个方面是半导体装置的制造方法,包括平坦化工序、层叠工序、孔形成工序、埋入工序以及去除工序。在平坦化工序中,通过使在第一孔埋入有导电材料的基板的表面平坦化,使被埋入至第一孔的导电材料露出,其中,形成上述第一孔的区域是在层叠在基板上的绝缘膜上的区域且是被隔离膜包围的区域内。在层叠工序中,在基板的表面层叠掩模膜。在孔形成工序中,以使被埋入至第一孔的导电材料的上表面的至少一部分露出的方式,在掩模膜形成第二孔。在第二埋入工序中,在第二孔埋入导电材料。在去除工序中,去除掩模膜。
根据本公开的各个方面以及实施方式,能够生产信号延迟少的半导体装置,并且能够提高半导体装置的生产率。
附图说明
图1是表示本公开的一个实施方式中的半导体装置的制造方法的一个例子的流程图。
图2A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图2B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图3A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图3B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图4A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图4B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图5A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图5B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图6A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图6B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图7A是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的俯视图。
图7B是表示用于制造本公开的一个实施方式中的半导体装置的晶片的一个例子的A-A剖视图。
图8是表示用于实验的晶片的一个例子的剖视图。
图9是表示实验结果的一个例子的图。
图10A是表示用于制造比较例中的半导体装置的晶片的一个例子的俯视图。
图10B是表示用于制造比较例中的半导体装置的晶片的一个例子的A-A剖视图。
图11A是表示用于制造比较例中的半导体装置的晶片的一个例子的俯视图。
图11B是表示用于制造比较例中的半导体装置的晶片的一个例子的A-A剖视图。
图12A是表示用于制造比较例中的半导体装置的晶片的一个例子的俯视图。
图12B是表示用于制造比较例中的半导体装置的晶片的一个例子的A-A剖视图。
图13A是表示用于制造比较例中的半导体装置的晶片的一个例子的俯视图。
图13B是表示用于制造比较例中的半导体装置的晶片的一个例子的A-A剖视图。
具体实施方式
以下,基于附图对公开的半导体装置的制造方法的实施方式进行详细说明。此外,公开的半导体装置的制造方法不受以下的实施方式限定。
然而,在以往的DRAM等半导体装置的接触垫的制造方法中,通过蚀刻层叠在接触插塞上的导电材料,来形成接触垫。在该情况下,由于通过蚀刻去除作为接触垫留下的部分的导电材料以外的部分,因此需要更精细的加工。在通过蚀刻形成接触垫的情况下,例如使用通过利用EUV(Extreme ultraviolet lithography:极紫外光刻)光的NTD(NegativeTone Development:负显影)图案化的抗蚀剂。
由于用于利用EUV光的NTD的抗蚀剂材料中包含有金属,因此与不包含金属的抗蚀剂材料相比,曝光时间延长。若曝光时间延长,则半导体装置的生产率降低。
另外,在通过蚀刻去除作为接触垫留下的部分的导电材料以外的部分的情况下,将转印有抗蚀剂图案的掩模膜作为掩模,蚀刻导电材料。但是,由于掩模膜相对于导电材料的选择比没有那么高,因此需要加厚掩模膜。因此,难以高精度地蚀刻掩模膜。
另外,在通过蚀刻去除作为接触垫留下的部分的导电材料以外的部分的情况下,存在接触插塞的一部分也同时被去除的情况。由此,接触插塞变细,电阻值上升。若接触插塞的电阻值上升,则在接触插塞中流动的信号的延迟增加。
因此,本公开提供一种能够高精度地加工信号延迟少的半导体装置,并且能够提高半导体装置的生产率的技术。
[半导体装置的制造方法]
图1是表示本公开的一个实施方式中的半导体装置的制造方法的一个例子的流程图。在本实施方式中,通过图1的流程图所示的步骤,制造用于制造半导体装置的晶片W。以下,参照图2~图7对半导体装置的制造方法的一个例子进行说明。
首先,准备处理对象晶片W(S10)。处理对象晶片W例如是图2A和图2B所示那样的结构。图2A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图2B是其A-A剖视图。
例如,图2A和图2B所示的晶片W具有半导体基板10,该半导体基板例如是导入了p型杂质的硅等半导体。在半导体基板10的表面形成有由多晶硅等构成的接触件11,在接触件11上层叠有钨等电极膜12,在电极膜12上层叠有氮化硅膜等绝缘膜13。
接触件11、电极膜12以及绝缘膜13被隔离物14覆盖。隔离物14例如具有氧化硅膜被氮化硅膜夹持的结构。具有被隔离物14覆盖的接触件11、电极膜12以及绝缘膜13的结构物140在y轴方向上隔开规定的间隔而配置,且分别在x轴方向上延伸。
另外,在y轴方向上邻接的结构物140之间的凹部埋入有绝缘膜13,在绝缘膜13上,在x轴方向上以规定的间隔形成有孔30。孔30是第一孔的一个例子。在孔30内,在半导体基板10上,层叠有硅化钴等金属膜15。另外,各个结构物140以及孔30被氮化钛等阻隔膜16覆盖。
例如通过ALD(Atomic Layer Deposition:原子层沉积)法来层叠阻隔膜16。例如,晶片W的温度被控制在200℃~600℃,通过向晶片W的表面交替地供给TDMAT(Tetrakis(DiMethylAmino)Titanium:四(二甲氨基)钛T)气体和NH3气体,来层叠例如0.5nm~2.0nm厚度的阻隔膜16。此外,也可以通过CVD(Chemical Vapor Deposition:化学气相沉积)法来层叠阻隔膜16。另外,阻隔膜16除了氮化钛以外,也可以是氮化钽等。
而且,在晶片W的表面层叠导电材料17,且导电材料17被埋入至各个孔30内。在本实施方式中,导电材料17例如是钨。导电材料17例如通过CVD法来层叠。例如,晶片W的温度被控制在300℃~600℃,通过向晶片W的表面供给WF6气体、SiH4气体以及Ar气体的混合气体,而在晶片W上层叠例如10nm~30nm厚度的导电材料17。此外,导电材料17也可以通过ALD法层叠。另外,原料气体也可以使用WCl4气体等代替WF6气体,也可以使用H2气体或者CH4气体等代替SiH4气体。
接下来,通过CMP(Chemical Mechanical Polishing:化学机械研磨)等使晶片W的表面平坦化(S11)。步骤S11是平坦化工序的一个例子。由此,例如如图3A和图3B所示,去除晶片W的上表面的导电材料17,使被埋入至孔30的导电材料17露出。另外,在晶片W的上表面,除了导电材料17之外,绝缘膜13、隔离物14以及阻隔膜16也露出。图3A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图3B是其A-A剖视图。
接下来,在晶片W上层叠掩模膜20(S12)。步骤S12是层叠工序的一个例子。而且,在掩模膜20上层叠防反射膜24,在防反射膜24上层叠抗蚀剂25。防反射膜24例如是氮氧化硅膜。抗蚀剂25由用于使用EUV光的PTD(Positive Tone Development:正显影)的材料、且不包含金属的材料构成。而且,例如如图4A和图4B所示,通过使用EUV光的PTD使抗蚀剂25图案化(S13)。图4A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图4B是其A-A剖视图。
在本实施方式中,掩模膜20包含第一掩模膜21、第二掩模膜22以及蚀刻停止膜23。第一掩模膜21例如是SOC(Spin On Carbon:旋涂碳)膜。第二掩模膜22例如是氧化硅膜。蚀刻停止膜23例如是多晶硅。另外,在本实施方式中,例如如图4A和图4B所示,通过使用EUV光的PTD,去除抗蚀剂25的一部分,形成沿着接触垫的形状的开口。
接下来,将抗蚀剂25作为掩模来蚀刻掩模膜20(S14)。然后,通过灰化等去除防反射膜24以及抗蚀剂25。步骤S12~S14是孔形成工序的一个例子。由此,例如如图5A和图5B所示,在掩模膜20形成沿着抗蚀剂25的形状的孔31,掩模膜20下方的导电材料17的一部分通过孔31露出。图5A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图5B是其A-A剖视图。孔31是第二孔的一个例子。
第一掩模膜21、第二掩模膜22以及蚀刻停止膜23的蚀刻例如通过使用等离子体的干式蚀刻法来进行。在第一掩模膜21的蚀刻中,例如,将晶片W的温度控制在100℃~350℃,在搬入了晶片W的等离子体处理装置内,生成例如20~60秒的CO气体的等离子体。此外,作为蚀刻气体,例如,也可以使用包含氮原子或氢原子的气体、或者包含从这些气体组中选择的两种以上的气体的混合气体来代替CO气体。
在第二掩模膜22的蚀刻中,例如,将晶片W的温度控制在20℃~100℃,在搬入了晶片W的等离子体处理装置内,生成例如5~60秒的C4F8气体的等离子体。此外,作为蚀刻气体,例如,也可以使用CF2气体、CF3气体、C2F2气体、C2F4气体、C2F6气体、Ar气体、CHF3气体、O2气体或O3气体、或者包含从这些气体组中选择的两种以上的气体的混合气体来代替C4F8气体。
在蚀刻停止膜23的蚀刻中,例如,将晶片W的温度控制在20℃~120℃,在搬入了晶片W的等离子体处理装置内,生成例如5~300秒的Cl2气体及HBr气体的混合气体的等离子体。此外,作为蚀刻气体,例如能够使用SF6气体来代替Cl2气体及HBr气体的混合气体。
另外,作为第一掩模膜21、第二掩模膜22以及蚀刻停止膜23的蚀刻时的等离子体源,例如,能够使用电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、微波激发表面波等离子体(SWP)、电子回旋共振等离子体(ECRP)、或者螺旋波激发等离子体(HWP)等。
接下来,在形成于掩模膜20的孔31内埋入导电材料18(S15)。步骤S15是埋入工序的一个例子。由此,例如如图6A和图6B所示,在孔31内埋入导电材料18。图6A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图6B是其A-A剖视图。导电材料18是与导电材料17相同的材料,例如是钨。在步骤S15中,通过选择性生长来层叠导电材料18。由此,在孔31内的阻隔膜16以及导电材料17上层叠导电材料18,但在第二掩模膜22上以及孔31内的绝缘膜13上不层叠导电材料18。
通过交替地重复例如CVD法和使用等离子体的干式蚀刻法的方法,将导电材料18选择性地层叠在孔31内的导电材料17上。例如,将晶片W的温度控制在450℃~550℃,执行规定时间的使用WCl5气体的CVD法被,之后执行规定时间的使用H2气体的等离子体的干式蚀刻法。CVD法中的WCl5气体的供给量例如是50~500mg/min。另外,干式蚀刻法中的H2气体的流量例如是1000~9000sccm。包括1次CVD法和1次干式蚀刻法的1个周期的长度例如是0.2秒~10秒。1个周期中的CVD法的期间和干式蚀刻法的期间的比例如是1:1。在本实施方式的导电材料18的层叠中,包括1次CVD法和1次干式蚀刻法的周期例如被重复数百次左右。
此外,CVD法中的原料气体也可以使用WCl6气体、WF6气体等来代替WCl5气体。另外,干式蚀刻法中的蚀刻气体也可以使用SiH4气体等来代替H2气体。另外,作为干式蚀刻法中的等离子体源,例如,能够使用电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、微波激发表面波等离子体(SWP)、电子回旋共振等离子体(ECRP)、或者螺旋波激发等离子体(HWP)等。
接下来,通过CMP等使晶片W的表面平坦化(S16)。然后,通过使用等离子体的干式蚀刻法等去除第二掩模膜22以及蚀刻停止膜23(S17)。步骤S17是去除工序的一个例子。第二掩模膜22以及蚀刻停止膜23的去除中的蚀刻的条件与在步骤S14中说明的条件相同。由此,例如如图7A和图7B所示,在晶片W上形成作为接触垫发挥作用的导电材料18。图7A是表示用于制造本公开的一个实施方式中的半导体装置的晶片W的一个例子的俯视图,图7B是其A-A剖视图。
[实验结果]
在这里,对有关钨的选择性生长的实验结果进行说明。图8是表示用于实验的晶片W’的一个例子的剖视图。图8所例示的晶片W’具有基板40、基底膜41以及氧化硅膜42。在氧化硅膜42形成有多个槽,基底膜41在槽的底部露出。使用图8中例示的晶片W’,通过交替地重复CVD法和使用等离子体的干式蚀刻法的方法,进行使钨膜43层叠在基底膜41上的实验。作为基底膜41,使用氮化硅膜、或者氧化硅膜、或者钨膜、或者氮化钛膜。
图9是表示实验结果的一个例子的图。例如如图9所示,在基底膜41是氮化硅膜或者氧化硅膜的情况下,即使重复包括CVD法和干式蚀刻法的周期,在基底膜41上也没有层叠钨膜43。另一方面,在基底膜41是钨膜或者氮化钛膜的情况下,在基底膜41上层叠钨膜43,根据包括CVD法和干式蚀刻法的周期的次数,钨膜43的厚度增加。
因此,通过重复包括CVD法和干式蚀刻法的周期,能够进行在氮化硅膜以及氧化硅膜上不生长钨膜、而在钨膜以及氮化钛膜上生长钨膜的所谓的选择性生长。
在这里,在图1的步骤S15中,通过重复包括CVD法和干式蚀刻法的周期而在孔31内层叠作为钨的导电材料18(参照图6A和图6B)。此时,通过选择性生长,导电材料18在作为钨的导电材料17以及作为氮化钛的阻隔膜16上生长。另一方面,导电材料18在作为氧化硅膜的第二掩模膜22上、作为氮化硅膜的绝缘膜13、以及包含氧化硅膜和氮化硅膜的隔离物14上不生长。
另外,通过导电材料18在被埋入至孔30内的导电材料17上选择性生长,导电材料18和被埋入至孔30内的导电材料17密接。由此,导电材料18与被埋入至孔30内的导电材料17之间的电阻值减少,能够减少在导电材料18与被埋入至孔30内的导电材料17之间流过的信号延迟。
另外,导电材料18在包含氧化硅膜和氮化硅膜的绝缘膜13以及隔离物14上不生长。由此,导电材料18内的钨原子不会到达绝缘膜13以及隔离物14,能够防止钨原子侵入绝缘膜13以及隔离物14内的金属污染。
[比较例]
在这里,参照图10~图13对比较例中的半导体装置的制造步骤进行说明。在比较例中的半导体装置的制造步骤中,首先,例如准备与图2A和图2B所示的晶片W相同结构的晶片W”。
接下来,在晶片W”上层叠掩模膜200。在掩模膜200上层叠防反射膜203,在防反射膜203上层叠抗蚀剂204。防反射膜203例如是氮氧化硅膜。抗蚀剂204由用于使用EUV光的NTD(Negative Tone Development)的材料、且包含金属的材料构成。而且,例如如图10A和图10B所示,通过使用EUV光的NTD使抗蚀剂204图案化。图10A是表示用于制造比较例中的半导体装置的晶片W”的一个例子的俯视图,图10B是其A-A剖视图。
在比较例中,掩模膜200包含第一掩模膜201以及第二掩模膜202。第一掩模膜201例如是非晶碳膜。第二掩模膜202例如是氮化硅膜。另外,在比较例中,例如如图10A和图10B所示,抗蚀剂204被图案化成与接触垫的形成对应的形状。
接下来,将抗蚀剂204作为掩模来蚀刻防反射膜203以及第一掩模膜201,将蚀刻后的第一掩模膜201作为掩模来蚀刻第二掩模膜202。例如,通过使用等离子体的干式蚀刻法来蚀刻第一掩模膜201以及第二掩模膜202。由此,晶片W”的状态例如为图11A和图11B那样。图11A是表示用于制造比较例中的半导体装置的晶片W”的一个例子的俯视图,图11B是其A-A剖视图。
接下来,将第一掩模膜201和第二掩模膜202作为掩模,来蚀刻阻隔膜16和导电材料17。阻隔膜16和导电材料17的蚀刻例如通过使用等离子体的干式蚀刻法来进行。由此,晶片W”的状态例如为图12A和图12B那样。图12A是表示用于制造比较例中的半导体装置的晶片W”的一个例子的俯视图,图12B是其A-A剖视图。
接下来,例如通过使用等离子体的干式蚀刻法,去除第一掩模膜201和第二掩模膜202。由此,晶片W”的状态例如为图13A和图13B那样。图13A是表示用于制造比较例中的半导体装置的晶片W”的一个例子的俯视图,图13B是其A-A剖视图。在图13B中,导电材料17a作为接触垫发挥作用,孔30内的导电材料17b作为接触插塞发挥作用。
在这里,在图13B例示的比较例中的晶片W”中,在蚀刻阻隔膜16以及导电材料17时,隔离物14的上部被切削。因此,之后,当在导电材料17a间层叠有氮化硅膜等绝缘膜的情况下,作为接触垫发挥作用的导电材料17b的寄生电容变大。另外,在隔离物14是被氮化硅膜夹持的气隙的情况下,若在邻接的导电材料17a之间层叠氮化硅膜等绝缘膜,则气隙被绝缘膜掩埋。由此,作为接触垫发挥作用的导电材料17b的寄生电容进一步变大。
另外,在图13B例示的比较例中的晶片W”中,通过蚀刻阻隔膜16和导电材料17时的过蚀刻,导电材料17b的一部分被切削,导电材料17b的一部分变细。若导电材料17b变细,则导电材料17b的电阻值增加。若导电材料17b的电阻值增加,则在导电材料17b中流动的信号的延迟、功率消耗、以及发热量增加。
与此相对,在本实施方式的晶片W中,在通过蚀刻去除蚀刻停止膜23时的条件下,隔离物14几乎没有被蚀刻(参照图5B)。因此,通过隔离物14较低地抑制被埋入至孔30内的、作为接触插塞发挥作用的导电材料17的寄生电容。
另外,在本实施方式的晶片W中作为接触插塞发挥作用的孔30内的导电材料17中,在通过蚀刻去除蚀刻停止膜23时的条件下几乎没有被蚀刻(参照图5B)。因此,未发生沿着xy平面的方向上的接触插塞的切削,而接触插塞的电阻值未增加。因此,可抑制在作为接触插塞发挥作用的导电材料17中流动的信号的延迟、功率消耗以及发热量的增加。
另外,在比较例中,为了蚀刻成为接触垫的导电材料17,需要形成较厚的硬掩模。若硬掩模的厚度较厚,则难以维持通过蚀刻图案化的形状的精度。与此相对,在本实施方式中,通过使成为接触垫的导电材料17层叠在沿着接触垫的形状形成的孔31内而形成。因此,由于无需蚀刻导电材料17,所以能够使掩模膜变薄。由此,能够容易地维持通过蚀刻图案化的形状的精度。
另外,在比较例的半导体装置的制造方法中,抗蚀剂204由用于利用EUV光进行的NTD的材料构成,且包含金属。另一方面,本实施方式中的抗蚀剂25由用于利用EUV光进行的PTD的材料构成,且不包含金属。因此,由于抗蚀剂25能够以比抗蚀剂204少的曝光量形成规定图案,所以能够缩短曝光时间。由此,能够削减半导体装置的制造所需的时间。
具体而言,在本实施方式的半导体装置的制造方法中,与比较例相比,形成接触垫所需的时间削减40%左右。由此,在本实施方式的半导体装置的制造方法中,每单位时间可制造的半导体装置的片数为比较例的约1.7倍。因此,与比较例相比,本实施方式中的半导体装置的制造方法能够提高半导体装置的生产率。
以上,对一个实施方式进行了说明。如上所述,本实施方式中的半导体装置的制造方法包括平坦化工序、层叠工序、孔形成工序、埋入工序以及去除工序。在平坦化工序中,通过使在孔30埋入有导电材料17的晶片W的表面平坦化,使被埋入至孔30的导电材料17露出,其中,形成该孔30的区域是在层叠在晶片W上的绝缘膜13上的区域且是被隔离物14包围的区域内。在层叠工序中,在晶片W的表面层叠掩模膜20。在孔形成工序中,以使被埋入至孔30的导电材料17的上表面的至少一部分露出的方式,在掩模膜20形成孔31。在第二埋入工序中,在孔31埋入导电材料18。在去除工序中,去除掩模膜20。由此,能够高精度地加工信号延迟较少的半导体装置,并且能够提高半导体装置的生产率。
另外,在上述实施方式中,导电材料是钨。由此,能够在接触插塞上形成接触垫。
另外,在上述实施方式中,在埋入工序中,通过层叠在导电材料17上而不层叠在绝缘膜13上的选择性生长,在孔31埋入导电材料18。由此,能够在接触插塞上容易地形成接触垫。
另外,在上述实施方式中,在埋入工序中,交替地重复如下工序:向晶片W的表面供给含钨气体的工序;以及向晶片W的表面供给含氢气体的等离子体。由此,能够在导电材料17上使导电材料18选择性生长。
另外,在上述实施方式中,含钨气体是WCl5气体、或者WCl6气体、或者WF6气体,含氢气体是H2气体或者SiH4气体。由此,能够在导电材料17上使导电材料18选择性生长。
另外,在上述实施方式中,孔形成工序包括:在掩模膜20上层叠抗蚀剂25的工序;通过使用EUV光的PTD,在抗蚀剂25形成沿着孔31的形状的开口的工序;通过将形成有开口的抗蚀剂25作为掩模来蚀刻掩模膜20,在掩模膜20形成孔31的工序。由此,与通过使用EUV光的NTD来使抗蚀剂图案化的情况相比,能够削减曝光时间。
[其它]
此外,本申请所公开的技术并不限定于上述实施方式,能够在其主旨的范围内进行各种变形。
例如,在上述实施方式中,在步骤S15中,通过选择性生长在孔31内层叠导电材料18,但公开的技术并不限于此。例如,也可以在利用阻隔膜16保护孔31内的绝缘膜13以及隔离物14的基础上,例如通过CVD等在孔31内层叠导电材料18。即使这样,也能够使成为接触垫的导电材料18层叠在孔30内的导电材料17上。
另外,在上述实施方式中,利用EUV光使抗蚀剂25图案化,但公开的技术并不限于此,也可以使用ArF准分子激光等使抗蚀剂25图案化。此外,在使用ArF准分子激光等进行图案化的情况下,抗蚀剂25由适合ArF准分子激光等的图案化的材料构成。
此外,应认为本次公开的实施方式在所有点是例示,并非是限制性的内容。实际上,上述的实施方式能够以多种方式实现。另外,上述的实施方式也可以在不脱离附加的权利要求书及其主旨的情况下,以各种方式进行省略、置换、变更。
附图标记说明
W...晶片;10...半导体基板;11...接触件;12...电极膜;13...绝缘膜;14...隔离物;140...结构物;15...金属膜;16...阻隔膜;17...导电材料;18...导电材料;20...掩模膜;21...第一掩模膜;22...第二掩模膜;23...蚀刻停止膜;24...防反射膜;25...抗蚀剂;30...孔;31...孔;40...基板;41...基底膜;42...氧化硅膜;43...钨膜;200...掩模膜;201...第一掩模膜;202...第二掩模膜;203...防反射膜;204...抗蚀剂。
Claims (7)
1.一种半导体装置的制造方法,包括:
平坦化工序,通过使在第一孔埋入有导电材料的基板的表面平坦化,使被埋入至上述第一孔的上述导电材料露出,其中,形成上述第一孔的区域是在层叠在基板上的绝缘膜上的区域且是被隔离膜包围的区域内;
层叠工序,在上述基板的表面层叠掩模膜;
孔形成工序,以使被埋入至上述第一孔的上述导电材料的上表面的至少一部分露出的方式,在上述掩模膜形成第二孔;
埋入工序,在上述第二孔埋入上述导电材料;以及
去除工序,去除上述掩模膜,
上述导电材料是钨,
在上述埋入工序中,通过层叠在上述导电材料上而未层叠在上述绝缘膜上的选择性生长,在上述第二孔埋入上述导电材料。
2.根据权利要求1所述的半导体装置的制造方法,其中,
在上述埋入工序中交替地重复如下工序:向上述基板的表面供给含钨气体的工序;以及向上述基板的表面供给含氢气体的等离子体的工序。
3.根据权利要求2所述的半导体装置的制造方法,其中,
上述含钨气体是WCl5气体、或者WCl6气体、或者WF6气体,
上述含氢气体是H2气体或者SiH4气体。
4.根据权利要求1~3中任一项所述的半导体装置的制造方法,其中,
上述孔形成工序包括:
在上述掩模膜上层叠抗蚀剂的工序;
通过使用EUV(Extreme ultraviolet lithography)光的PTD(Positive ToneDevelopment),在上述抗蚀剂形成沿着上述第二孔的形状的开口的工序;以及
通过将形成有上述开口的上述抗蚀剂作为掩模来蚀刻上述掩模膜,在上述掩模膜形成第二孔的工序。
5.一种半导体装置的制造方法,包括:
在绝缘膜上形成掩模膜、以及在导电材料上形成掩模膜,上述导电材料设置在形成于上述绝缘膜的第一孔内;
去除上述掩模膜的一部分,形成使上述绝缘膜的一部分和上述导电材料的一部分露出的第二孔;
在上述第二孔内形成上述导电材料;以及
在上述第二孔内形成上述导电材料后,去除上述掩模膜,
上述导电材料是钨,
通过层叠在设置在上述第一孔内的导电材料上而未层叠在上述绝缘膜上的选择性生长,在上述第二孔内形成上述导电材料。
6.根据权利要求5所述的半导体装置的制造方法,其中,
上述第二孔内的上述导电材料通过交替地重复供给含钨气体和供给含氢气体的等离子体来形成。
7.根据权利要求5所述的半导体装置的制造方法,其中,
上述绝缘膜是氮化硅膜。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2019027948 | 2019-02-20 | ||
JP2019-027948 | 2019-02-20 | ||
PCT/JP2020/004898 WO2020170865A1 (ja) | 2019-02-20 | 2020-02-07 | 半導体装置の製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN113302723A CN113302723A (zh) | 2021-08-24 |
CN113302723B true CN113302723B (zh) | 2024-04-12 |
Family
ID=72144893
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202080007255.4A Active CN113302723B (zh) | 2019-02-20 | 2020-02-07 | 半导体装置的制造方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20210384071A1 (zh) |
JP (1) | JP7186855B2 (zh) |
KR (1) | KR20210127957A (zh) |
CN (1) | CN113302723B (zh) |
TW (1) | TWI831915B (zh) |
WO (1) | WO2020170865A1 (zh) |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1022286A (ja) * | 1996-07-04 | 1998-01-23 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
JPH1187650A (ja) * | 1997-09-08 | 1999-03-30 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
JP2000183308A (ja) * | 1998-12-15 | 2000-06-30 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
KR20010005108A (ko) * | 1999-06-30 | 2001-01-15 | 김영환 | 반도체소자의 제조방법 |
JP2001196376A (ja) * | 2000-01-14 | 2001-07-19 | Seiko Epson Corp | 半導体装置の製造方法 |
US6395636B1 (en) * | 2001-01-09 | 2002-05-28 | Honeywell International Inc. | Methods for improved planarization post CMP processing |
CN101345231A (zh) * | 2007-07-12 | 2009-01-14 | 东部高科股份有限公司 | 半导体芯片器件及其制造方法和包括其的堆叠封装 |
JP2012114287A (ja) * | 2010-11-25 | 2012-06-14 | Tokyo Electron Ltd | パターン化金属膜及びその形成方法 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000294636A (ja) * | 1999-04-08 | 2000-10-20 | Fuji Electric Co Ltd | 半導体装置およびその製造方法 |
US6611014B1 (en) * | 1999-05-14 | 2003-08-26 | Kabushiki Kaisha Toshiba | Semiconductor device having ferroelectric capacitor and hydrogen barrier film and manufacturing method thereof |
JP2002151435A (ja) * | 2000-10-31 | 2002-05-24 | Applied Materials Inc | 導電部の形成方法 |
JP3463038B2 (ja) * | 2000-11-14 | 2003-11-05 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6589099B2 (en) * | 2001-07-09 | 2003-07-08 | Motorola, Inc. | Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry |
JP2004311706A (ja) * | 2003-04-07 | 2004-11-04 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2007258510A (ja) * | 2006-03-24 | 2007-10-04 | Toshiba Corp | 半導体装置の製造方法 |
KR101244456B1 (ko) * | 2007-07-10 | 2013-03-18 | 삼성전자주식회사 | 콘택 스페이서를 구비하는 콘택 구조체의 형성 방법 및이를 이용한 반도체 소자의 제조 방법 |
KR20090010486A (ko) * | 2007-07-23 | 2009-01-30 | 삼성전자주식회사 | 반도체 메모리 소자 및 그 형성방법 |
KR20100057203A (ko) * | 2008-11-21 | 2010-05-31 | 삼성전자주식회사 | 반도체 장치의 배선 구조물 및 이의 형성방법 |
KR20120094208A (ko) * | 2011-02-16 | 2012-08-24 | 에스케이하이닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
KR20150019069A (ko) * | 2013-08-12 | 2015-02-25 | 에스케이하이닉스 주식회사 | 매립 비트라인을 갖는 반도체 장치 및 이를 이용한 전자 장치 |
US9263297B2 (en) * | 2014-01-28 | 2016-02-16 | Tokyo Electron Limited | Method for self-aligned double patterning without atomic layer deposition |
KR20150122516A (ko) * | 2014-04-23 | 2015-11-02 | 삼성전자주식회사 | 이중톤 현상 공정을 이용한 패턴 형성 방법 |
US9818690B2 (en) * | 2015-10-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned interconnection structure and method |
US10468350B2 (en) | 2016-08-08 | 2019-11-05 | Samsung Electronics Co., Ltd. | Semiconductor memory device |
US10859905B2 (en) * | 2018-09-18 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Photomask and method for forming the same |
-
2020
- 2020-02-07 JP JP2021501866A patent/JP7186855B2/ja active Active
- 2020-02-07 CN CN202080007255.4A patent/CN113302723B/zh active Active
- 2020-02-07 KR KR1020217028663A patent/KR20210127957A/ko unknown
- 2020-02-07 WO PCT/JP2020/004898 patent/WO2020170865A1/ja active Application Filing
- 2020-02-07 TW TW109103779A patent/TWI831915B/zh active
-
2021
- 2021-08-19 US US17/445,436 patent/US20210384071A1/en active Pending
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1022286A (ja) * | 1996-07-04 | 1998-01-23 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
JPH1187650A (ja) * | 1997-09-08 | 1999-03-30 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
JP2000183308A (ja) * | 1998-12-15 | 2000-06-30 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
KR20010005108A (ko) * | 1999-06-30 | 2001-01-15 | 김영환 | 반도체소자의 제조방법 |
JP2001196376A (ja) * | 2000-01-14 | 2001-07-19 | Seiko Epson Corp | 半導体装置の製造方法 |
US6395636B1 (en) * | 2001-01-09 | 2002-05-28 | Honeywell International Inc. | Methods for improved planarization post CMP processing |
CN101345231A (zh) * | 2007-07-12 | 2009-01-14 | 东部高科股份有限公司 | 半导体芯片器件及其制造方法和包括其的堆叠封装 |
JP2012114287A (ja) * | 2010-11-25 | 2012-06-14 | Tokyo Electron Ltd | パターン化金属膜及びその形成方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20210127957A (ko) | 2021-10-25 |
JP7186855B2 (ja) | 2022-12-09 |
TW202101672A (zh) | 2021-01-01 |
JPWO2020170865A1 (ja) | 2021-12-02 |
TWI831915B (zh) | 2024-02-11 |
WO2020170865A1 (ja) | 2020-08-27 |
CN113302723A (zh) | 2021-08-24 |
US20210384071A1 (en) | 2021-12-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7105431B2 (en) | Masking methods | |
US7494934B2 (en) | Method of etching carbon-containing layer and method of fabricating semiconductor device | |
US7452773B2 (en) | Method of manufacturing a flash memory device | |
JP7366072B2 (ja) | 薄膜の応力を軽減するためのインシトゥ高電力注入 | |
JP2010536176A (ja) | 緊密なピッチのコンタクトを含む半導体構造体、ならびにその形成方法 | |
US20150118832A1 (en) | Methods for patterning a hardmask layer for an ion implantation process | |
CN108573864A (zh) | 基本无缺陷的多晶硅栅极阵列 | |
US20230354576A1 (en) | Semiconductor structure having buried word lines | |
CN113302723B (zh) | 半导体装置的制造方法 | |
KR20070042887A (ko) | 피쳐 제한부들을 형성하는 방법 | |
US7534711B2 (en) | System and method for direct etching | |
US20240112888A1 (en) | In-Situ Adsorbate Formation for Dielectric Etch | |
US11784056B2 (en) | Self-aligned double patterning | |
US20240096640A1 (en) | High Aspect Ratio Contact (HARC) Etch | |
CN115050624A (zh) | 制造半导体装置的方法与半导体制造工具 | |
CN114334978A (zh) | 半导体结构及其制备方法 | |
CN102054749A (zh) | 半导体器件及其制作方法 | |
JP2003100911A (ja) | キャパシタの形成方法 | |
KR20030001899A (ko) | 반도체 소자의 커패시터 형성 방법 | |
JP2008078344A (ja) | 半導体装置およびその製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |