TWI831915B - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TWI831915B
TWI831915B TW109103779A TW109103779A TWI831915B TW I831915 B TWI831915 B TW I831915B TW 109103779 A TW109103779 A TW 109103779A TW 109103779 A TW109103779 A TW 109103779A TW I831915 B TWI831915 B TW I831915B
Authority
TW
Taiwan
Prior art keywords
conductive material
hole
film
semiconductor device
manufacturing
Prior art date
Application number
TW109103779A
Other languages
English (en)
Other versions
TW202101672A (zh
Inventor
吉備和雄
藤田滋継
鈴木健二
岡田充弘
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202101672A publication Critical patent/TW202101672A/zh
Application granted granted Critical
Publication of TWI831915B publication Critical patent/TWI831915B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明之目的係以良好精確度對於信號延遲少之半導體裝置進行加工,並使半導體裝置之生產性提高。 本發明之半導體裝置之製造方法,包含平坦化製程、積層製程、孔形成製程、埋入製程、及去除製程。在平坦化製程,藉由將於形成在積層於基板上之絕緣膜上且以間隔膜包圍之區域內的第1孔埋入有導電材料之基板的表面平坦化,而使埋入至第1孔之導電材料露出。在積層製程,於基板之表面積層遮罩膜。在孔形成製程,於遮罩膜形成第2孔而使埋入至第1孔之導電材料的頂面之至少一部分露出。在埋入製程,將導電材料埋入至第2孔。在去除製程,去除遮罩膜。

Description

半導體裝置之製造方法
本發明之各種樣態及實施形態係關於一種半導體裝置之製造方法。
舉例而言,於下述專利文獻1揭示了一種技術,該技術係在DRAM(Dynamic Random Access Memory:動態隨機存取記憶體)等半導體裝置之製造製程,於用以連接電容器與擴散層之接觸插栓上形成接觸墊。可以接觸墊,吸收因形成構成電容器的溝之際的加工引起之溝位置偏移。
[先前技術文獻]
[專利文獻1]美國專利申請公開說明書第2018/0040561號
本發明提供可以良好精確度對於信號延遲少之半導體裝置進行加工,並且可使半導體裝置之生產性提高的半導體裝置之製造方法。
本發明的一樣態係半導體裝置之製造方法,包含平坦化製程、積層製程、孔形成製程、埋入製程、及去除製程。在平坦化製程,藉由將於形成在積層於基板上之絕緣膜上且以間隔膜包圍之區域內的第1孔埋入有導電材料之基板的表面平坦化,而使埋入至第1孔之導電材料露出。在積層製程,於基板之表面積層遮罩膜。在孔形成製程,於遮罩膜形成第2孔而使埋入至第1孔之導電材料的頂面之至少一部分露出。在埋入製程,將導電材料埋入至第2孔。在去除製程,去除遮罩膜。
根據本發明之各種樣態及實施形態,可生產信號延遲少之半導體裝置,並且可使半導體裝置之生產性提高。
10:半導體基板
11:接觸層
12:電極膜
13:絕緣膜
14:間隔件
15:金屬膜
16:阻隔膜
17:導電材料
17a:導電材料
17b:導電材料
18:導電材料
20:遮罩膜
21:第1遮罩膜
22:第2遮罩膜
23:蝕刻停止膜
24:反射防止膜
25:光阻層
30:孔
31:孔
40:基板
41:基底膜
42:氧化矽膜
43:鎢膜
140:構造物
200:遮罩膜
201:第1遮罩膜
202:第2遮罩膜
203:反射防止膜
204:光阻層
S10:步驟
S11:步驟
S12:步驟
S13:步驟
S14:步驟
S15:步驟
S16:步驟
S17:步驟
W:晶圓
W’:晶圓
W”:晶圓
X:方向
Y:方向
圖1係顯示本發明一實施形態的半導體裝置之製造方法的一例之流程圖。
圖2A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖2B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖3A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖3B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖4A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖4B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖5A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖5B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖6A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖6B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖7A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的俯視圖。
圖7B係顯示用於本發明一實施形態的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖8係顯示用於實驗之晶圓的一例之截面圖。
圖9係顯示實驗結果之一例的圖。
圖10A係顯示用於比較例的半導體裝置之製造的晶圓之一例的俯視圖。
圖10B係顯示用於比較例的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖11A係顯示用於比較例的半導體裝置之製造的晶圓之一例的俯視圖。
圖11B係顯示用於比較例的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖12A係顯示用於比較例的半導體裝置之製造的晶圓之一例的俯視圖。
圖12B係顯示用於比較例的半導體裝置之製造的晶圓之一例的A-A截面圖。
圖13A係顯示用於比較例的半導體裝置之製造的晶圓之一例的俯視圖。
圖13B係顯示用於比較例的半導體裝置之製造的晶圓之一例的A-A截面圖。
[用於實施發明之形態]
以下,就揭示的半導體裝置之製造方法的實施形態,依據圖式,詳細說明。此外,並非用以下實施形態,限定揭示的半導體裝置之製造方法。
順帶一提,在以往之DRAM等半導體裝置之接觸墊的製造方法,藉蝕刻積層於接觸插栓上之導電材料,而形成接觸墊。此時,為以蝕刻去除保留作為接觸墊之部分的導電材料以外之導電材料,而要求更細微之加工。當以蝕刻形成接觸墊時,使用以例如使用了EUV(Extreme ultraviolet lithography:超紫外線平版印刷術)光之NTD(Negative Tone Development:負型顯影)圖形化之光阻層。
由於用於使用EUV光之NTD的抗蝕材料含有金屬,故比起未含有金屬之抗蝕材料,曝光時間較長。當曝光時間長,半導體裝置之生產性便降低。
又,以蝕刻去除保留作為接觸墊之部分的導電材料以外之導電材料時,將轉印有光阻層之圖形的遮罩膜作為遮罩來蝕刻導電材料。然而,由於遮罩膜對導電材料之選擇比沒那麼高,故需使遮罩膜厚。因此,不易以高精確度進行遮罩膜之蝕刻。
又,以蝕刻去除保留作為接觸墊之部分的導電材料以外之導電材料時,有接觸插栓之一部分亦同時被去除的情形。因此,接觸插栓變細,電阻值上升。當接觸插栓之電阻值上升,流過接觸插栓之信號的延遲便增加。
是故,本發明提供可以良好精確度對於信號延遲少之半導體裝置進行加工,並且可使半導體裝置之生產性提高的技術。
[半導體裝置之製造方法]
圖1係顯示本發明一實施形態的半導體裝置之製造方法的一例之流程圖。在本實施形態,以圖1之流程圖所示的程序,製造用於半導體裝置之製造的晶圓W。以下,一面參照圖2~圖7,一面說明半導體裝置之製造方法的一例。
首先,準備處理對象之晶圓W(S10)。處理對象之晶圓W係如圖2A及圖2B所示之構造。圖2A係顯示用於本發明一實施形態之半導體裝置的製造之晶圓W的一例之俯視圖,圖2B係其A-A截面圖。
如圖2A及圖2B所示之晶圓W具有導入有例如p型雜質之矽等的半導體亦即半導體基板10。於半導體基板10之表面形成有以多晶矽等構成之接觸層11,於接觸層11上積層有鎢等之電極膜12,於電極膜12上積層有氮化矽膜等之絕緣膜13。
接觸層11、電極膜12、及絕緣膜13以間隔件14包覆。間隔件14具有例如氧化矽膜被氮化矽膜包夾之構造。具有以間隔件14包覆之接觸層11、電極膜12及絕緣膜13的構造物140於y軸方向隔著預定間隔配置,分別於x軸方向延伸。
又,於在y軸方向相鄰之構造物140之間的凹部埋入有絕緣膜13,在絕緣膜13,於x軸方向以預定間隔形成有孔30。孔30係第1孔之一例。在孔30內,於半導體基板10上積層有鈷矽化物等之金屬膜15。又,各構造物140及孔30以氮化鈦等之阻隔膜16包覆。
阻隔膜16以例如ALD(Atomic Layer Deposition:原子層沉積)法積層。舉例而言,藉由將晶圓W之溫度控制為200℃~600℃,交互進行對晶圓W之表面的TDMAT(Tetrakis(DiMethylAmino)Titanium:肆(二甲基胺基)鈦)氣體之供給與NH3氣體之供給,而積層例如0.5nm~2.0nm之厚度的阻隔膜16。此外,阻隔膜16 亦可以CVD(Chemical Vapor Deposition:化學氣相沉積)法積層。又,阻隔膜16除了氮化鈦以外,亦可為氮化鉭等。
然後,於晶圓W之表面積層導電材料17,於各孔30內埋入導電材料17。在本實施形態中,導電材料17係例如鎢。導電材料17以例如CVD法積層。舉例而言,藉由將晶圓W之溫度控制為300℃~600℃,將WF6氣體、SiH4氣體、及Ar氣體之混合氣體供至晶圓W之表面,而於晶圓W上積層例如10nm~30nm之厚度的導電材料17。此外,導電材料17亦可以ALD法積層。又,原料氣體可使用WCl4氣體等取代WF6氣體,亦可使用H2氣體或CH4氣體等取代SiH4氣體。
接著,以CMP(Chemical Mechanical Polishing:化學機械研磨)等將晶圓W之表面平坦化(S11)。步驟S11係平坦化製程之一例。藉此,如圖3A及圖3B所示,去除晶圓W之頂面的導電材料17,埋入至孔30之導電材料17露出。又,在晶圓W之頂面,除了導電材料17外,絕緣膜13、間隔件14及阻隔膜16亦露出。圖3A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓W之一例的俯視圖,圖3B係其A-A截面圖。
然後,於晶圓W上積層遮罩膜20(S12)。步驟S12係積層製程之一例。接著,於遮罩膜20上積層反射防止膜24,於反射防止膜24上積層光阻層25。反射防止膜24係例如氮氧化矽膜。光阻層25以用於使用EUV光之PTD(Positive Tone Development:正型顯影)且不含金屬之材料構成。之後,如圖4A及圖4B所示, 以使用EUV光之PTD將光阻層25圖形化(S13)。圖4A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓W之一例的俯視圖,圖4B係其A-A截面圖。
在本實施形態,遮罩膜20包含第1遮罩膜21、第2遮罩膜22、及蝕刻停止膜23。第1遮罩膜21係例如SOC(Spin On Carbon:旋塗式碳)膜。第2遮罩膜22係例如氧化矽膜。蝕刻停止膜23係例如多晶矽膜。又,在本實施形態,如圖4A及圖4B所示,以使用EUV光之PTD,去除光阻層25之一部分,而形成順著接觸墊之形狀的開口。
接著,將光阻層25作為遮罩來蝕刻遮罩膜20(S14)。然後,以灰化等去除反射防止膜24及光阻層25。步驟S12~S14係孔形成製程之一例。藉此,如圖5A及圖5B所示,於遮罩膜20形成順著光阻層25之形狀的孔31,藉由孔31,遮罩膜20之下方的導電材料17之一部分露出。圖5A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓W之一例的俯視圖,圖5B係其A-A截面圖。孔31係第2孔之一例。
第1遮罩膜21、第2遮罩膜22及蝕刻停止膜23之蝕刻藉例如使用電漿之乾蝕刻法進行。在第1遮罩膜21之蝕刻,例如將晶圓W之溫度控制為100℃~350℃,在搬入了晶圓W之電漿處理裝置內生成CO氣體之電漿例如20~60秒鐘。此外,蝕刻氣體亦可使用例如含有氮原子或氫原子之氣體、抑或含有從該等氣體群選擇之二種以上的氣體之混合氣體取代CO氣體。
在第2遮罩膜22之蝕刻,例如將晶圓W之溫度控制為20℃~100℃,在搬入了晶圓W之電漿處理裝置內生成C4F8氣體之電漿例如5~60秒鐘。此外,蝕刻氣體亦可使用例如CF2氣體、CF3氣體、C2F2氣體、C2F4氣體、C2F6氣體、Ar氣體、CHF3氣體、O2氣體、或O3氣體、抑或含有從該等氣體群選擇之二種以上的氣體之混合氣體取代C4F8氣體。
在蝕刻停止膜23之蝕刻,例如將晶圓W之溫度控制為20℃~120℃,在搬入了晶圓W之電漿處理裝置內生成Cl2氣體及HBr氣體之混合氣體的電漿例如5~300秒鐘。此外,蝕刻氣體可使用例如SF6氣體取代Cl2氣體及HBr氣體之混合氣體。
又,第1遮罩膜21、第2遮罩膜22、及蝕刻停止膜23之蝕刻的電漿源可使用例如電容耦合型電漿(CCP)、感應耦合電漿(ICP)、微波激發表面波電漿(SWP)、電子迴旋共振電漿(ECRP)、或螺旋波激發電漿(HWP)等。
接著,將導電材料18埋入至形成於遮罩膜20之孔31內(S15)。步驟S15係埋入製程之一例。藉此,如圖6A及圖6B所示,將導電材料18埋入至孔31內。圖6A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓W之一例的俯視圖,圖6B係其A-A截面圖。導電材料18係與導電材料17相同之材料,例如為鎢。在步驟S15,以選擇成長積層導電材料18。藉此,於孔31內之阻隔膜16及導電材料17上積層導電材料18,但不於第2遮罩膜22上及孔31內之絕緣膜13上積層導電材料18。
導電材料18藉例如交互反複地進行CVD法與使用電漿之乾蝕刻法的方法,選擇性地積層於孔31內之導電材料17上。舉例而言,將晶圓W之溫度控制為450℃~550℃,執行使用WCl5氣體之CVD法預定時間,之後,執行使用H2氣體之電漿的乾蝕刻法預定時間。CVD法之WCl5氣體的供給量為例如50~500mg/min。又,乾蝕刻法之H2氣體的流量為例如1000~9000sccm。包含一次CVD法與一次乾蝕刻法之一週期的長度為例如0.2秒~10秒。一週期之CVD法的期間與乾蝕刻法之期間的比為例如1比1。在本實施形態之導電材料18的積層,包含一次CVD法與一次乾蝕刻法的週期反覆例如數百次左右。
此外,CVD法之原料氣體亦可使用WCl6氣體或WF6氣體等取代WCl5氣體。又,乾蝕刻法之蝕刻氣體亦可使用SiH4氣體等取代H2氣體。又,乾蝕刻法之電漿源可使用例如電容耦合型電漿(CCP)、感應耦合電漿(ICP)、微波激發表面波電漿(SWP)、電子迴旋共振電漿(ECRP)、或螺旋波激發電漿(HWP)等。
接著,以CMP等將晶圓W之表面平坦化(S16)。然後,以使用電漿之乾蝕刻法等去除第2遮罩膜22及蝕刻停止膜23(S17)。步驟S17係去除製程之一例。第2遮罩膜22及蝕刻停止膜23之去除的蝕刻條件與在步驟S14說明之條件相同。藉此,如圖7A及圖7B所示,於晶圓W上形成具有接觸墊之功能的導電材料18。圖7A係顯示用於本發明一實施形態的半導體裝置之製造的晶圓W之一例的俯視圖,圖7B係其A-A截面圖。
[實驗結果]
在此,就關於鎢之選擇成長的實驗結果作說明。圖8係顯示用於實驗之晶圓W’的一例之截面圖。圖8所例示之晶圓W’具有基板40、基底膜41及氧化矽膜42。於氧化矽膜42形成有複數之溝,在溝之底部基底膜41露出。使用圖8所例示之晶圓W’,進行了以交互反覆CVD法與使用電漿之乾蝕刻法的方法使鎢膜43積層於基底膜41上之實驗。基底膜41使用氮化矽膜、氧化矽膜、鎢膜或氮化鈦膜。
圖9係顯示實驗結果之一例的圖。如圖9所示,基底膜41為氮化矽膜或氧化矽膜時,即使反覆包含CVD法與乾蝕刻法之週期,亦未於基底膜41上積層鎢膜43。另一方面,基底膜41為鎢膜或氮化鈦膜時,於基底膜41上積層鎢膜43,鎢膜43之厚度按包含CVD法與乾蝕刻法之週期的次數增加。
因而,藉反覆包含CVD法與乾蝕刻法之週期,可進行鎢膜不於氮化矽膜及氧化矽膜上成長,鎢膜於鎢膜及氮化鈦膜上成長之所謂選擇成長。
在此,在圖1之步驟S15,藉反覆包含CVD法與乾蝕刻法之週期,而於孔31內積層鎢亦即導電材料18(參照圖6A及圖6B)。此時,藉選擇成長,導電材料18在鎢亦即導電材料17及氮化鈦亦即阻隔膜16上成長。另一方面,導電材料18不在氧化矽膜亦即第2遮罩膜22上、氮化矽膜亦即絕緣膜13、以及包含氧化矽膜及氮化矽膜之間隔件14上成長。
又,藉導電材料18在埋入至孔30內之導電材料17上選擇成長,導電材料18與埋入至孔30內之導電材料17密合。藉此,可減低導電材料18與埋入至孔30內的導電材料17之間的電阻值,而可減低在導電材料18與埋入至孔30內的導電材料17之間流動的信號之延遲。
又,導電材料18不在包含氧化矽膜及氮化矽膜之絕緣膜13與間隔件14上成長。藉此,導電材料18內之鎢原子不致到達絕緣膜13及間隔件14,而可防止鎢原子侵入至絕緣膜13及間隔件14內之金屬污染。
[比較例]
在此,就比較例之半導體裝置的製造程序,一面參照圖10~圖13,一面說明。在比較例之半導體裝置的製造程序,首先,準備與如圖2A及圖2B所示之晶圓W為相同的構造之晶圓W”。
接著,於晶圓W”上積層遮罩膜200。於遮罩膜200上積層反射防止膜203,於反射防止膜203上積層光阻層204。反射防止膜203係例如氮氧化矽膜。光阻層204以用於使用EUV光之NTD(Negative Tone Development:負型顯影)且含有金屬之材料構成。然後,如圖10A及圖10B所示,以使用EUV光之NTD將光阻層204圖形化。圖10A係顯示用於比較例的半導體裝置之製造的晶圓W”之一例的俯視圖,圖10B係其A-A截面圖。
在比較例,遮罩膜200包含第1遮罩膜201及第2遮罩膜202。第1遮罩膜201係例如非晶碳膜。第2遮罩膜202係例如氮化矽膜。又,在比較例,如圖10A及圖10B所示,將光阻層204圖形化成形成為對應接觸墊之形成的形狀。
然後,將光阻層204作為遮罩,蝕刻反射防止膜203及第1遮罩膜201,將蝕刻後之第1遮罩膜201作為遮罩來蝕刻第2遮罩膜202。第1遮罩膜201及第2遮罩膜202之蝕刻藉例如使用電漿之乾蝕刻法進行。藉此,晶圓W”之狀態形成為如圖11A及圖11B般。圖11A係顯示用於比較例的半導體裝置之製造的晶圓W”之一例的俯視圖,圖11B係其A-A截面圖。
接著,將第1遮罩膜201及第2遮罩膜202作為遮罩來蝕刻阻隔膜16及導電材料17。阻隔膜16及導電材料17之蝕刻藉例如使用電漿之乾蝕刻法進行。藉此,晶圓W”之狀態形成為如圖12A及圖12B般。圖12A係顯示用於比較例的半導體裝置之製造的晶圓W”之一例的俯視圖,圖12B係其A-A截面圖。
然後,藉例如使用電漿之乾蝕刻法,去除第1遮罩膜201及第2遮罩膜202。藉此,晶圓W”之狀態形成為如圖13A及圖13B般。圖13A係顯示用於比較例的半導體裝置之製造的晶圓W”之一例的俯視圖,圖13B係其A-A截面圖。在圖13B,導電材料17a具有接觸墊之功能,孔30內之導電材料17b具有接觸插栓之功能。
在此,圖13B所例示之比較例的W”於阻隔膜16及導電材料17被蝕刻之際,間隔件14之上部被除掉。因而,此後,於導電材料17a間積層氮化矽膜等絕緣膜 時,具有接觸墊之功能的導電材料17b之寄生電容增大。又,間隔件14為被氮化矽膜包夾之氣隙時,當於相鄰的導電材料17a之間積層氮化矽膜等絕緣膜,氣隙被絕緣膜填埋。因此,具有接觸墊之功能的導電材料17b之寄生電容更大。
又,圖13B所例示之比較例的晶圓W”因阻隔膜16及導電材料17被蝕刻之際的過蝕刻,導電材料17b之一部分被除掉,導電材料17b的一部分變細。當導電材料17b變細時,導電材料17b之電阻值增加。當導電材料17b之電阻值增加時,流過導電材料17b之信號的延遲、耗費電力、及發熱量便增加。
相對於此,本實施形態之晶圓W在以蝕刻去除蝕刻停止膜23之際的條件下,間隔件14幾乎未被蝕刻(參照圖5B)。因此,埋入至孔30內且具有接觸插栓之功能的導電材料17之寄生電容以間隔件14抑制為低。
又,在本實施形態之晶圓W具有接觸插栓的功能之孔30內的導電材料17在以蝕刻去除蝕刻停止膜23之際的條件下,幾乎未被蝕刻(參照圖5B)。因此,未產生沿著xy平面之方向的接觸插栓之除掉,而不致產生接觸插栓之電阻值的增加。因此,可抑制在流過具有接觸插栓之功能的導電材料17之信號的延遲、耗費電力、及發熱量之增加。
又,在比較例,為蝕刻作為接觸墊之導電材料17,需形成厚之硬遮罩。當硬遮罩之厚度厚時,不易維持以蝕刻圖形化之形狀的精確度。相對於此,在本實施形態中,藉使作為接觸墊之導電材料17積層於順著接觸墊形狀形成之孔31 內而形成。因此,由於不需蝕刻導電材料17,故可使遮罩膜薄。藉此,可易維持以蝕刻圖形化之形狀的精確度。
又,在比較例的半導體裝置之製造方法,光阻層204以用於以EUV光所行的NTD之材料構成,且含有金屬。另一方面,本實施形態之光阻層25以用於以EUV光所行的PTD之材料構成,不含金屬。因此,由於光阻層25可以少於光阻層204之曝光量形成預定圖形,故可使曝光時間短。藉此,可刪減半導體裝置之製造所需的時間。
具體而言,在本實施形態的半導體裝置之製造方法,接觸墊之形成所需的時間比起比較例,刪減40%左右。藉此,在本實施形態之半導體裝置的製造方法,每單位時間可製造之半導體裝置的片數係比較例之約1.7倍。因而,本實施形態的半導體裝置之製造方法可較比較例提高半導體裝置之生產性。
以上,就一實施形態作了說明。如上述,本實施形態的半導體裝置之製造方法包含平坦化製程、積層製程、孔形成製程、埋入製程、及去除製程。在平坦化製程,藉由將於形成在積層於晶圓W上之絕緣膜13上且以間隔件14包圍之區域內的孔30埋入有導電材料17之晶圓W的表面平坦化,而使埋入至孔30之導電材料17露出。在積層製程,於晶圓W之表面積層遮罩膜20。在孔形成製程,於遮罩膜20將孔31形成為使埋入至孔30之導電材料17的頂面之至少一部分露出。在埋入製程,將導電材料18埋入至孔31。在去除製程,去除遮罩膜20。藉 此,可以良好精確度對於信號延遲少之半導體裝置進行加工,並且可使半導體裝置之生產性提高。
又,在上述實施形態中,導電材料係鎢。藉此,可於接觸插栓上形成接觸墊。
又,在上述實施形態,在埋入製程,以積層於導電材料17上,不積層於絕緣膜13上之選擇成長,將導電材料18埋入至孔31。藉此,可易於接觸插栓上形成接觸墊。
又,在上述實施形態,在埋入製程,交互反複地進行將含鎢氣體供至晶圓W之表面的製程、及將含氫氣體之電漿供至晶圓W之表面的製程。藉此,可使導電材料18選擇成長於導電材料17上。
再者,在上述實施形態,含鎢氣體係WCl5氣體、WCl6氣體或WF6氣體,含氫氣體係H2氣體或SiH4氣體。藉此,可使導電材料18選擇成長於導電材料17上。
又,在上述實施形態,孔形成製程具有於遮罩膜20上積層光阻層25之製程、以使用EUV光之PTD,於光阻層25形成順著孔31之形狀的開口之製程、藉由將形成有開口之光阻層25作為遮罩來蝕刻遮罩膜20,而於遮罩膜20形成孔31之製程。藉此,比起以使用EUV光之NTD將光阻層圖形化之情形,可刪減曝光時間。
[其他]
此外,揭示於本案之技術並不限於上述實施形態,可在其要旨之範圍內進行各樣變形。
舉例而言,在上述實施形態,在步驟S15,以選擇成長於孔31內積層導電材料18,揭示之技術不限於此。舉例而言,亦可以阻隔膜16保護孔31內之絕緣膜13及間隔件14之後,以例如CVD等於孔31內積層導電材料18。如此進行,亦可使作為接觸墊之導電材料18積層於孔30內之導電材料17上。
又,在上述實施形態,以EUV光將光阻層25圖形化,揭示之技術不限於此,亦可使用ArF準分子雷射光等來圖形化。此外,使用ArF準分子雷射光等來圖形化時,光阻層25以適合ArF準分子雷射光等之圖形化的材料構成。
此外,此次揭示之實施形態應視為所有點係例示並非限制。實際上,上述實施形態可以多樣之形態實現。又,上述實施形態亦可在不脫離附加之申請專利範圍及其旨趣下,以各種形態省略、置換、變更。
S10:步驟
S11:步驟
S12:步驟
S13:步驟
S14:步驟
S15:步驟
S16:步驟
S17:步驟

Claims (8)

  1. 一種半導體裝置之製造方法,包含:平坦化製程,藉由將於形成在積層於基板上之絕緣膜上且以間隔膜包圍之區域內的第1孔埋入有導電材料之該基板的表面平坦化,而使埋入至該第1孔之該導電材料露出;積層製程,於該基板之表面積層遮罩膜;孔形成製程,於該遮罩膜形成第2孔而使埋入至該第1孔之該導電材料的頂面之至少一部分露出;埋入製程,將該導電材料埋入至該第2孔;及去除製程,去除該遮罩膜;該導電材料係鎢;在該埋入製程,以積層於該導電材料上而不積層於該絕緣膜上之選擇成長方式,將該導電材料埋入至該第2孔。
  2. 如請求項1之半導體裝置之製造方法,其中,在該埋入製程,交互反複地進行將含鎢氣體供至該基板之表面的製程、及將含氫氣體之電漿供至該基板之表面的製程。
  3. 如請求項2之半導體裝置之製造方法,其中,該含鎢氣體係WCl5氣體、WCl6氣體或WF6氣體,該含氫氣體係H2氣體或SiH4氣體。
  4. 如請求項1至3中任一項之半導體裝置之製造方法,其中,該孔形成製程包含下列製程:於該遮罩膜上積層光阻層;藉由使用EUV(Extreme ultraviolet lithography:超紫外線平版印刷術)光之PTD(Positive Tone Development:正型顯影),於該光阻層形成順著該第2孔之形狀的開口;藉由將形成有該開口之該光阻層作為遮罩來蝕刻該遮罩膜,而於該遮罩膜形成第2孔。
  5. 一種半導體裝置之製造方法,包含下述製程:於絕緣膜上和設置於形成在該絕緣膜之第1孔內的導電材料上,形成遮罩膜;去除該遮罩膜的一部分,而形成使該絕緣膜的一部分和該導電材料的一部分露出的第2孔;於該第2孔內形成該導電材料;及在於該第2孔內形成該導電材料之後,去除該遮罩膜。
  6. 如請求項5之半導體裝置之製造方法,其中,該導電材料係鎢。
  7. 如請求項5之半導體裝置之製造方法,其中, 該第2孔內的該導電材料,係藉交互反複地供給含鎢氣體及供給含氫氣體而形成。
  8. 如請求項5之半導體裝置之製造方法,其中,該絕緣膜係氮化矽膜。
TW109103779A 2019-02-20 2020-02-07 半導體裝置之製造方法 TWI831915B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-027948 2019-02-20
JP2019027948 2019-02-20

Publications (2)

Publication Number Publication Date
TW202101672A TW202101672A (zh) 2021-01-01
TWI831915B true TWI831915B (zh) 2024-02-11

Family

ID=72144893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109103779A TWI831915B (zh) 2019-02-20 2020-02-07 半導體裝置之製造方法

Country Status (6)

Country Link
US (1) US20210384071A1 (zh)
JP (1) JP7186855B2 (zh)
KR (1) KR20210127957A (zh)
CN (1) CN113302723B (zh)
TW (1) TWI831915B (zh)
WO (1) WO2020170865A1 (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040197990A1 (en) * 2003-04-07 2004-10-07 Katsuhiko Hieda Semiconductor device and method of manufacturing the same
US20090017629A1 (en) * 2007-07-10 2009-01-15 Samsung Electronics Co., Ltd. Method of forming contact structure with contact spacer and method of fabricating semiconductor device using the same
TWI605518B (zh) * 2015-10-30 2017-11-11 台灣積體電路製造股份有限公司 積體電路結構及其製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3696982B2 (ja) * 1996-07-04 2005-09-21 株式会社ルネサステクノロジ 半導体集積回路露光用のフォトマスク
JPH1187650A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd 半導体集積回路装置の製造方法
JP3642965B2 (ja) * 1998-12-15 2005-04-27 沖電気工業株式会社 半導体装置の製造方法
JP2000294636A (ja) * 1999-04-08 2000-10-20 Fuji Electric Co Ltd 半導体装置およびその製造方法
US6611014B1 (en) * 1999-05-14 2003-08-26 Kabushiki Kaisha Toshiba Semiconductor device having ferroelectric capacitor and hydrogen barrier film and manufacturing method thereof
KR100334393B1 (ko) * 1999-06-30 2002-05-03 박종섭 반도체소자의 제조방법
JP2001196376A (ja) * 2000-01-14 2001-07-19 Seiko Epson Corp 半導体装置の製造方法
JP2002151435A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 導電部の形成方法
JP3463038B2 (ja) * 2000-11-14 2003-11-05 Necエレクトロニクス株式会社 半導体装置の製造方法
US6395636B1 (en) * 2001-01-09 2002-05-28 Honeywell International Inc. Methods for improved planarization post CMP processing
US6589099B2 (en) * 2001-07-09 2003-07-08 Motorola, Inc. Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry
JP2007258510A (ja) * 2006-03-24 2007-10-04 Toshiba Corp 半導体装置の製造方法
KR100906065B1 (ko) * 2007-07-12 2009-07-03 주식회사 동부하이텍 반도체칩, 이의 제조 방법 및 이를 가지는 적층 패키지
KR20090010486A (ko) 2007-07-23 2009-01-30 삼성전자주식회사 반도체 메모리 소자 및 그 형성방법
KR20100057203A (ko) 2008-11-21 2010-05-31 삼성전자주식회사 반도체 장치의 배선 구조물 및 이의 형성방법
JP2012114287A (ja) * 2010-11-25 2012-06-14 Tokyo Electron Ltd パターン化金属膜及びその形成方法
KR20120094208A (ko) 2011-02-16 2012-08-24 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
KR20150019069A (ko) * 2013-08-12 2015-02-25 에스케이하이닉스 주식회사 매립 비트라인을 갖는 반도체 장치 및 이를 이용한 전자 장치
JP6196739B2 (ja) * 2014-01-28 2017-09-13 東京エレクトロン株式会社 原子層堆積を用いずに自己整合ダブルパターニングを行う方法
KR20150122516A (ko) * 2014-04-23 2015-11-02 삼성전자주식회사 이중톤 현상 공정을 이용한 패턴 형성 방법
US10468350B2 (en) 2016-08-08 2019-11-05 Samsung Electronics Co., Ltd. Semiconductor memory device
US10859905B2 (en) * 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040197990A1 (en) * 2003-04-07 2004-10-07 Katsuhiko Hieda Semiconductor device and method of manufacturing the same
US20090017629A1 (en) * 2007-07-10 2009-01-15 Samsung Electronics Co., Ltd. Method of forming contact structure with contact spacer and method of fabricating semiconductor device using the same
TWI605518B (zh) * 2015-10-30 2017-11-11 台灣積體電路製造股份有限公司 積體電路結構及其製造方法

Also Published As

Publication number Publication date
CN113302723B (zh) 2024-04-12
WO2020170865A1 (ja) 2020-08-27
JPWO2020170865A1 (ja) 2021-12-02
KR20210127957A (ko) 2021-10-25
TW202101672A (zh) 2021-01-01
US20210384071A1 (en) 2021-12-09
JP7186855B2 (ja) 2022-12-09
CN113302723A (zh) 2021-08-24

Similar Documents

Publication Publication Date Title
US9583345B2 (en) Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US7183205B2 (en) Method of pitch dimension shrinkage
US7494934B2 (en) Method of etching carbon-containing layer and method of fabricating semiconductor device
JP4945740B2 (ja) フォトリソグラフィー構造よりも狭いピッチを有するパターン
TWI505323B (zh) 使用多重間隙壁罩幕的自我對準柱狀圖案化方法
TWI406105B (zh) 使用含碳硬光罩的雙重曝光圖案化方法
US20210125836A1 (en) Self-aligned double patterning
JP2009027146A (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
US8053370B2 (en) Semiconductor device and fabrications thereof
TWI831915B (zh) 半導體裝置之製造方法
JP2004119905A (ja) ポリシリコンエッチング方法
US8361849B2 (en) Method of fabricating semiconductor device
US7935634B2 (en) Integrated circuits, micromechanical devices, and method of making same
US7534711B2 (en) System and method for direct etching
US20130119546A1 (en) Semiconductor device and manufacturing method
TWI793908B (zh) 具有埋入字元線的半導體結構的其製備方法
JP4051546B2 (ja) キャパシタの形成方法
TWI744059B (zh) 半導體裝置的形成方法
JP7270722B2 (ja) 半導体装置の製造方法
WO2024087320A1 (zh) 半导体结构的形成方法及半导体结构
JP2008198713A (ja) 半導体装置の製造方法
JP2009076810A (ja) 半導体装置の製造方法
JP2006013042A (ja) 半導体装置およびその製造方法
KR20050104079A (ko) 반도체소자의 캐패시터 형성 방법