TWI505323B - 使用多重間隙壁罩幕的自我對準柱狀圖案化方法 - Google Patents

使用多重間隙壁罩幕的自我對準柱狀圖案化方法 Download PDF

Info

Publication number
TWI505323B
TWI505323B TW097120234A TW97120234A TWI505323B TW I505323 B TWI505323 B TW I505323B TW 097120234 A TW097120234 A TW 097120234A TW 97120234 A TW97120234 A TW 97120234A TW I505323 B TWI505323 B TW I505323B
Authority
TW
Taiwan
Prior art keywords
mask
spacer
layer
lines
sacrificial
Prior art date
Application number
TW097120234A
Other languages
English (en)
Other versions
TW200908092A (en
Inventor
Christopher D Bencher
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200908092A publication Critical patent/TW200908092A/zh
Application granted granted Critical
Publication of TWI505323B publication Critical patent/TWI505323B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

使用多重間隙壁罩幕的自我對準柱狀圖案化方法
本發明是關於半導體處理領域。
過去數十年來,積體電路的特徵尺寸縮減已成為半導體產業持續發展的驅動力。製作越來越小的特徵結構可提高半導體晶片之有限面積上的功能單元密度。例如,縮小電晶體尺寸可在微處理器內納入更多的邏輯與記憶元件,以致增加產品製造的複雜度。
然而尺寸縮減(scaling)將造成一些後果。隨著微電子電路的基礎建構區塊尺寸縮小,以及在指定區域中的基礎建構區塊總數增加,使得用來圖案化這些建構區塊的微影製程限制條件變得相當重要。明確而言,是對半導體堆疊結構中的最小圖案化特徵尺寸(關鍵尺寸)與特徵之間的間距陷入取捨兩難。第1A-1C圖為多個截面圖以顯示根據先前技術之傳統半導體微影製程。
參照第1A圖,光阻層104位於半導體堆疊102上。光罩或罩幕106置於光阻層104上。微影製程包括以如第1A圖箭頭所示的特定波長光線(hv)曝照光阻層104。參照第1B圖,接著顯影光阻層104,以形成圖案化光阻層108於半導體堆疊102上。也就是,移除光阻層104已曝光的部分。圖案化光阻層108的特徵寬度以「x」表示。特徵之間的間距以「y」表示。一般而言,特定微影製程的極限值 是用來形成關鍵尺寸等於特徵間距的特徵結構,即如第1B圖所示,x=y。
參照第1C圖,特徵的關鍵尺寸(即寬度「x」)可縮減,而在半導體堆疊結構102上形成圖案化光阻層110。藉由在第1A圖之微影步驟中過度曝光光阻層104,或是隨後削減第1B圖之圖案化光阻層108,可縮減關鍵尺寸。但縮減關鍵尺寸會導致特徵之間的間距變大,如第1C圖之間距「y」所示。也就是,可能要在圖案化光阻層110可達到的最小特徵尺寸與特徵間距之間做出取捨。
故在此提出使用多重間隙壁罩幕的自我對準柱狀圖案化方法。
一種製造半導體罩幕的方法,該方法包含:提供一第一間隙壁罩幕之一組線條的映像至一罩幕堆疊,以形成一圖案化罩幕堆疊;以及,提供一第二間隙壁罩幕之一組線條的映像至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱狀罩幕,其中該第二間隙壁罩幕之該組線條的映像不平行於該第一間隙壁罩幕之該組線條的映像。一種製造半導體罩幕的方法,其包含:提供一半導體結構,該半導體結構具有一第一犧牲罩幕,該第一犧牲罩幕包含在一罩幕堆疊上的一第一組線條;形成一第一間隙壁罩幕,該第一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧牲罩幕之該第一組線條的側壁;移除該第一犧牲罩幕;且 接著提供該第一間隙壁罩幕之該些間隙壁線條的映像至該罩幕堆疊,而形成一圖案化罩幕堆疊;形成一第二犧牲罩幕,其包括一第二組線條在該圖案化罩幕堆疊上;形成一第二間隙壁罩幕,其具有多個間隙壁線條鄰接該第二犧牲罩幕之該第二組線條的側壁,其中該第二間隙壁罩幕的該些間隙壁線條不平行於該圖案化罩幕堆疊中該第一間隙壁罩幕之該些間隙壁線條的映像;移除該第二犧牲罩幕;以及,接著提供該第二間隙壁罩幕之該些間隙壁線條的映像至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱狀罩幕堆疊。
一種製造半導體罩幕的方法,該方法包含:提供一半導體結構,其具有一第一犧牲罩幕,該第一犧牲罩幕包含一第一組線條在一罩幕堆疊上;沉積一第一間隙壁層於該半導體結構上,並且與該第一犧牲罩幕共形;蝕刻該第一間隙壁層,以形成一第一間隙壁罩幕,該第一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧牲罩幕之該第一組線條的側壁;移除該第一犧牲罩幕;且接著提供該第一間隙壁罩幕之該些間隙壁線條的映像至該罩幕堆疊,而形成一圖案化罩幕堆疊;形成一第二犧牲罩幕,其包括一第二組線條於該圖案化罩幕堆疊上;沉積一第二間隙壁層於該圖案化罩幕堆疊上,並且與該第二犧牲罩幕共形;蝕刻該第二間隙壁層,以提供一第二間隙壁罩幕,該第二間隙壁罩幕具有多個間隙壁線條鄰接該第二犧牲罩幕之該第二組線條 的側壁,其中該第二間隙壁罩幕的該些間隙壁線條不平行於該圖案化罩幕堆疊中該第一間隙壁罩幕之該些間隙壁線條的映像;移除該第二犧牲罩幕;以及接著提供該第二間隙壁罩幕之該些間隙壁線條的映像至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱狀罩幕堆疊。
在此描述使用多重間隙壁罩幕的自我對準柱狀圖案化方法。為徹底瞭解本發明,以下述敘內容提及許多特定細節,例如製造條件和材料類型。熟諳此技藝者當知曉可以不用依照這些特定細節來實施本發明。在其他例子中,諸如積體電路設計佈局或光阻顯影製程等熟知特徵將不再詳述,以免糢糊本發明焦點。另外,應理解圖式中所繪示的各種實施例僅是作為示範範例,無需按比例繪製。
在此揭露一種製造半導體罩幕的方法。可先提供第一間隙壁罩幕的一組線條映像至一罩幕層,而形成一圖案化罩幕層。在一實施例中,接著提供第二間隙壁罩幕的一組線條映像至該圖案化罩幕層,而形成由一組柱狀物所組成的柱狀罩幕。第二間隙壁罩幕的線條組映像不平行於第一間隙壁罩幕的該組線條。在一實施例中,第二間隙壁罩幕之線條的映像垂直於第一間隙壁罩幕的線條。因此,柱狀罩幕的柱狀物具有方形形狀。在另一實施例中,第二間隙壁罩幕之該組線條的映像與第一間隙壁罩幕的線條夾有一角度θ,其中45∘<θ<90∘。故柱狀罩幕的每個柱狀物呈菱 形形狀。
藉著製造間隙壁罩幕,可使微影圖案的頻率加倍。例如,根據本發明一實施例,所製造之間隙壁罩幕的間隙壁線條鄰接該微影圖案化犧牲罩幕的側壁。也就是,犧牲罩幕中的每個線條可產生二個間隙壁罩幕間隙壁線條。故一旦移除犧牲罩幕,即可製得各線條之關鍵尺寸實質相同(即同樣的特徵寬度)、但在一指定區域中之線條密度加倍的半導體圖案化罩幕。例如,根據本發明一實施例,犧牲罩幕的線距選擇4,以得到最終線距為2的間隙壁罩幕。
可藉著將二個不同間隙壁罩幕的映像重覆地轉移到硬光罩層內而製得柱狀蝕刻罩幕。也就是,根據本發明一實施例,先將第一間隙壁罩幕的映像轉移到下面的硬光罩層,然後移除第一間隙壁罩幕而留下已圖案化的硬光罩層。第二間隙壁罩幕接著形成在該圖案化硬光罩層上。第二間隙壁罩幕的線條不平行於最先轉移到硬光罩層之第一間隙壁罩幕的線條映像。因此,將第二間隙壁罩幕的映像轉移到圖案化硬光罩層後,即形成柱狀硬光罩。接著將柱狀硬光罩的映像轉移到一罩幕堆疊而形成柱狀蝕刻罩幕。柱狀蝕刻罩幕包含一組具有相同形狀與相同尺寸的自我對準柱狀物。由於不論第一和第二間隙壁罩幕之間是否有偏移,只要間隙壁罩幕間的角度保持相同,則每一個柱狀物的尺寸與形狀皆相同,因此該些柱狀物是自我對準的。在一實施例中,第二間隙壁罩幕的線條垂直於第一間隙壁罩幕之線條的映像,因此所產生的柱狀蝕刻罩幕包含一組方 柱。在另一實施例中,第二間隙壁罩幕的線條不垂直於第一間隙壁罩幕之線條的映像,所產生的柱狀蝕刻罩幕包含一組菱形狀柱狀物。由於每個間隙壁罩幕本身會使特徵頻率加倍,因此使用二個間隙壁罩幕所形成之柱狀蝕刻罩幕的柱狀物密度是使用二個用來製造間隙壁罩幕之犧牲罩幕所達成密度的四倍。
可利用多重間隙壁罩幕來形成柱狀蝕刻罩幕。第2A-B圖繪示根據本發明一實施例,使用二個間隙壁罩幕所形成的柱狀罩幕之截面。
參照第2A圖,柱狀蝕刻罩幕270A包含一組位於基材或半導體層208上的方柱。根據本發明一實施例,柱狀蝕刻罩幕270A是藉由重覆使用二個互相垂直的間隙壁罩幕而形成。在一實施例中,具有方柱的柱狀罩幕270A可用來圖案化半導體層208,以製造出一組用於快閃記憶體元件的半導體結構。參照第2B圖,柱狀蝕刻罩幕270B包含一組菱形狀柱狀物位於基材或半導體層208上。根據本發明一實施例,藉由重覆使用二個既不互相垂直、也不互相平行的間隙壁罩幕形成來柱狀蝕刻罩幕270B。在一實施例中,具有菱形狀柱狀物的柱狀罩幕270B是用來圖案化半導體層208,以製造出一組用於動態隨機存取記憶體(DRAM)元件的半導體結構。
柱狀蝕刻罩幕的製造包括重覆使用二個間隙壁罩幕。第3圖為根據本發明一實施例的流程圖,繪示使用二個間隙壁罩幕之自我對準柱狀圖案化方法的一連串步驟。第 4A-O'圖為根據本發明一實施例,將第3圖流程之一連串步驟應用到半導體堆疊後的截面圖和俯視圖。
參照流程300之步驟302和相應的第4A圖,半導體堆疊400包含第一罩幕堆疊404、中間硬光罩層405和第二罩幕堆疊406位於半導體層408上。圖案化光阻層402置於半導體堆疊400上。圖案化光阻層將用來在半導體堆疊400的第一罩幕堆疊404中形成第一犧牲罩幕。
圖案化光阻層402可包含任何適合用於微影製程中的材料。也就是,形成圖案化光阻層402可先遮蔽光阻材料毯覆層,然後以光源曝照之。接著顯影該毯覆光阻層,以形成圖案化光阻層402。在一實施例中,顯影光阻層時,光阻層經過光源曝照的部分會被移除,也就是該圖案化光阻層402由正光阻材料組成。在一特定實施例中,圖案化光阻層402包含之正光阻材料選自於由248奈米(nm)光阻、193nm光阻、157nm光阻和含有重氮萘酚醌感光劑(diazonaphthoquinone sensitizer)之酚醛樹脂基質所構成之群組中。在另一實施例中,顯影光阻層時,光阻層經過光源曝照的部分會被保留,即圖案化光阻層402是由負光阻材料組成。在一特定實施例中,圖案化光阻層402包含之負光阻材料選自於由聚順異戊二烯(poly-cis-isoprene)和聚內桂酸乙烯酯(poly-vinyl-cinnamate)構成之群組中。
圖案化光阻層402可具有任一適合用於間隙壁罩幕製造製程中的尺寸。根據本發明一實施例,圖案化光阻層402的各特徵寬度「x」實質上與半導體元件特徵的預定關鍵尺 寸(如定義閘極的柱狀物寬度)有關。在一實施例中,寬度「x」為10-100nm。選擇線條之間的線距「y」,以有效進行第一倍頻方法。即,根據本發明一實施例,訂定後續製造之間隙壁罩幕,使得間隙壁罩幕的間隙壁線條寬度實質上與圖案化光阻層402之特徵寬度「x」相同。另外,後續形成之間隙壁的線距實質上等於各個間隙壁區域的寬度。故在一實施例中,如第4A圖所示,由於第一間隙壁罩幕的頻率最後會加倍,因此圖案化光阻層402中各特徵之間的間距「y」大約等於寬度「x」的三倍。即,圖案化光阻層402的線距選擇的為4,以最終得到間隙壁線距的為2的第一間隙壁罩幕。
達成圖案化光阻層402之特徵間距:寬度為3:1的方式包括在曝光步驟時,過度曝光正光阻層,或在微影/顯影製程後削減光阻層。在一實施例中,圖案化光阻層402包含193nm正光阻,並且在顯影後,使用電漿蝕刻化學劑削減該圖案化光阻層402。雖然就倍頻方法(frequency doubling scheme)而言,圖案化光阻層402之各特徵的理想寬度為圖案化光阻層402之間距的1/4,但最初訂定的寬度宜稍微加大,以補償用於圖案化第一罩幕堆疊404的蝕刻製程。故根據本發明一實施例,可將圖案化光阻層402的最初線寬訂定介在該間距的0.281-0.312倍之間。
參照流程300之步驟304和對應的第4B圖,利用蝕刻製程將圖案化光阻層402的映像轉移到第一罩幕堆疊404,以形成第一犧牲罩幕410。用來轉移映像的蝕刻製程 可為任何適合從圖案化光阻層402將實質相同之映像轉移至第一罩幕堆疊404的製程。
第一罩幕堆疊404和第一犧牲罩幕410可含任何適合在間隙壁罩幕製造製程中做為犧牲罩幕的材料或該些材料的組合。根據本發明一實施例,第一罩幕堆疊404由單一材料組成,如第4A圖的單一斜線所示者。由單一材料組成之第一罩幕堆疊404的組成和厚度適合使用不會實質影響圖案化光阻層402的蝕刻製程來執行蝕刻。即,在一實施例中,單一材料組成之第一罩幕堆疊404的尺寸和蝕刻特性乃經過選擇,而經得起圖案化製程,並且圖案化期間,圖案化光阻層402實質上仍保持完整未損。在一特定實施例中,圖案化光阻層402包合碳基材料(carbon-based material),第一罩幕堆實404含有一選自於由氮化矽、氧化矽、和無定形或多晶矽所構成之群組中的材料。在一特定實施例中,第一罩幕堆疊404實質上由氮化矽組成,並且用來形成第一犧牲罩幕410的蝕刻製程所採用的氣體係選自於由二氟申烷(CH2 F2 )和三氟甲烷(CHF3 )構成之群組中。在另一特定實施例中,第一罩幕堆疊404實質上由氧化矽所組成,並且用來形成第一犧牲罩幕410的蝕刻製程所採用的氣體係選自於由C4 F8 和三氟甲烷(CHF3 )構成之群組中。在又一特定實施例中,第一罩幕堆疊404實質上由無定形或多晶矽組成,且用來形成第一犧牲罩幕410的蝕刻製程所採用的氣體係選自於由氯氣(Cl2 )和溴化氫(HBr)構成之群組中。根據本發明一實施例,單一材料組成 之第一罩幕堆疊404的厚度乃經過選擇,以使倍頻方法中後續間隙壁罩幕的形成達到最佳化。第一罩幕堆疊404的厚度夠薄,以免後續形成之間隙壁罩幕的間隙壁罩幕線條崩塌,且厚度又要夠厚而足以控制間隙壁罩幕線條的關鍵尺寸。在一實施例中,單一材料組成之第一罩幕堆疊404的厚度為第一犧牲罩幕410之訂定線寬的4.06-5.625倍。
根據本發明另一實施例,第一罩幕堆疊404包含第一硬光罩層404A位於第一罩幕層404B上,如第4A圖繪示的雙層者。故如第4B圖所示,第一犧牲罩幕410包含犧牲硬光罩部分410A於犧牲罩幕部分410B上。在一實施例中,第一硬光罩層404A和第一罩幕層404B以二道不同蝕刻步驟利用圖案化光阻層402之映像而加以圖案化。第一硬光罩層404A可含任何適合在使用不實質影響圖案化光阻層402之蝕刻製程來執行蝕刻的材料。即,在一實施例中,第一硬光罩層404A的尺寸和蝕刻特性乃經過選擇,而經得起圖案化製程,並且在圖案化期間,圖案化光阻層402實質上保持完整未損。在一特定實施例中,第一罩幕層404B(其位於第一硬光罩層404A下方)所含材料的蝕刻特性類似於圖案化光阻層402的蝕刻特性。因此,在後續蝕刻第一罩幕層404B期間,第一硬光罩層404A用來維持圖案化光阻層402的映像。在一特定實施例中,圖案化光阻層402和第一罩幕層404B包含碳基材料,第一硬光罩層404A含有一選自於由氮化矽、氧化矽、和無定形或多晶矽構成之群組中的材料。在一特定實施例中,第一硬光 罩層404A實質上由氮化矽組成,以及用來圖案化第一硬光罩層404A且對圖案化光阻層402和第一罩幕層404B具選擇性的蝕刻製程所採用的氣體係選自於由CH2 F2 和CHF3 構成之群組中。在另一特定實施例中,第一硬光罩層404A實質上由氧化矽組成,以及用來圖案化第一硬光罩層404A且對圖案化光阻層402和第一罩幕層404B具選擇性的蝕刻製程所採用的氣體係選自於由C4 F8 和CHF3 構成之群組中。在又一特定實施例中,第一硬光罩層404A實質上由無定形或多晶矽組成,以及用來圖案化第一硬光罩層404A且對圖案化光阻層402和第一罩幕層404B具選擇性的蝕刻製程所採用的氣體係選自於由Cl2 和HBr構成之群組中。第一硬光罩層404A的厚度夠薄,而足以相對於圖案化光阻層402進行高度選擇性蝕刻,且厚度又要夠厚,以免形成針孔而不當地露出第一罩幕層404B。在一實施例中,第一硬光罩層404A的厚度為20nm至50nm。
當第一罩幕堆疊404包含第一硬光罩層404A於第一罩幕層404B上時,第一罩幕層404B可由任何禁得起一控制蝕刻製程和後續間隙壁罩幕形成製程的材料所組成。在一實施例中,第一罩幕層404B的蝕刻特性類似於圖案化光阻層402。在一特定實施例中,圖案化光阻層402和第一罩幕層404B的厚度乃經過選擇,以於蝕刻第一罩幕層404B時,移除所有蝕刻第一硬光罩層404A後所留下的圖案化光阻層402部分。例如,根據本發明一實施例,圖案化光阻層402和第一罩幕層404B實質上皆由碳原子組 成。在一實施例中,第一罩幕層404B包含sp3(菱形狀)、sp2(石墨狀)與sp1(熱解碳狀)混成軌域的碳原子混合物,其是利用碳氫化合物前驅物分子進行化學氣相沉積製程而得。在此技藝領域中,已知此種膜層為無定形碳膜。在一特定實施例中,第一罩幕層404B包含此種無定形碳膜,並使用選自於由氧氣(O2 )與氮氣(N2 )組合物,或甲烷(CH4 )、氮氣(N2 )與氧氣(O2 )組合物所構成之群組中的氣體進行蝕刻。在一特定實施例中,實質上所有的圖案化光阻層402是在用來圖案化第一罩幕層404B的同一蝕刻步驟中移除。第一罩幕層404B的厚度夠薄,以免後續形成之間隙壁罩幕的間隙壁罩幕線條崩塌,且厚度又要夠厚,而足以控制間隙壁罩幕線條的關鍵尺寸。在一實施例中,含有第一硬光罩層404A和第一罩幕層404B之第一罩幕堆疊404的總厚度是第一犧牲罩幕410之訂定線寬的4.06-5.625倍。
再次參照第4B圖,對中間硬光罩層405具有選擇性地圖案化該第一罩幕堆疊404,以形成第一犧牲罩幕410,其在進行進一步處理之前用來保護第二罩幕堆疊406。中間硬光罩層405具有可適當保護第二罩幕堆疊406使其免於受到形成第一犧牲罩幕410之蝕刻製程影響的性質。根據本發明一實施例,第一罩幕堆疊404由單一材料組成,且對中間硬光罩層405具有蝕刻選擇性。在一實施例中,第一罩幕堆疊404包含氮化矽,中間硬光罩層405含有一選自於由氧化矽和無定形或多晶矽構成之群組中的材料。 在另一實施例中,第一罩幕堆疊404包含氧化矽,中間硬光罩層405含有一選自於由氮化矽和無定形或多晶矽構成之群組中的材料。在又一實施例中,第一罩幕堆疊404包含無定形或多晶矽,中間硬光罩層405含有一選自於由氮化矽和氧化矽構成之群組中的材料。根據本發明另一實施例,第一罩幕堆疊404包含第一硬光罩層404A和第一罩幕層404B。在一實施例中,第一罩幕層404B包含無定形碳膜,並以選自於由O2 與N2 組合物,或CH4 、N2 與O2 組合物所構成之群組中的氣體來蝕刻之;中間硬光罩層405含有一選自於由氮化矽、氧化矽、和無定形或多晶矽構成之群組中的材料。中間硬光罩層405的厚度夠薄,而足以於隨後相對第二罩幕堆疊406進行高選擇性蝕刻,且厚度又要夠厚,以免形成針孔而不當地露出第二罩幕堆疊406使其暴露在蝕刻第一罩幕堆疊404的蝕刻製程中。在一實施例中,中間硬光罩層405的厚度為15至40奈米。
參照流程300之步驟306和對應的第4C圖,間隙壁層412共形沉積於第一犧牲罩幕410和中間硬光罩層405上。間隙壁層412是終將變成在自我對準柱狀圖案化方法之第一間隙壁罩幕的材料來源。
間隙壁層412可含任何適合形成後續蝕刻製程中之可靠罩幕的材料。根據本發明一實施例,間隙壁層412含有一選自於由氮化矽、氧化矽和無定形或多晶矽構成之群組中的材料。可利用任何適合形成共形層於第一犧牲罩幕410之側壁上(如第4C圖所示)的製程來沉積間隙壁層 412。在一實施例中,以化學氣相沉積(CVD)技術沉積間隙壁層412,該化學氣相沉積可選自於由分子有機CVD、低壓CVD和電漿增強CVD所構成之群組中。選擇間隙壁層412的厚度,以決定後續形成之間隙壁罩幕的特徵寬度。故根據本發明一實施例,如第4C圖所示,間隙壁層412的厚度實質上與第一犧牲罩幕410的特徵寬度相同。雖然就倍頻方法而言,間隙壁層412的理想厚度與第一犧牲罩幕410的特徵寬度相同,但最初訂定的寬度可稍微加大,以補償用於圖案化該間隙壁層412的蝕刻製程。在一實施例中,間隙壁層412的厚度約為第一犧牲罩幕410之特徵寬度的1.06倍,也就是後續形成之間隙壁罩幕的預定特徵線寬的1.06倍。
再次參照流程300之步驟306和對應的第4D圖,間隙壁層412經蝕刻而成為第一間隙壁罩幕414,以露出第一犧牲罩幕410和中間硬光罩層405的頂表面。第一間隙壁罩幕414的線條與第一犧牲罩幕410之特徵側壁共形。因此如第4D圖所示,每一條第一犧牲罩幕410的線條具有兩條第一間隙壁罩幕414的線條。
可以任何良好控制尺寸的適合製程來蝕刻間隙壁層412,也就是可維持第一犧牲罩幕410的關鍵尺寸寬度。根據本發明一實施例,如第4D圖所示,蝕刻間隙壁層412,直到第一間隙壁罩幕414的線條高度實質上與第一犧牲罩幕410的特徵等高為止。然而,在另一實施例中,第一間隙壁罩幕414的線條被凹蝕而略低於第一犧牲罩幕410之 特徵的頂表面,以確保第一間隙壁罩幕414線條上方與線條之間的間隙壁層412不連續。蝕刻間隙壁層412,使第一間隙壁罩幕414的間隙壁線條仍保有間隙壁層412的原來厚度。在一特定實施例中,如第4D圖所示,各第一間隙壁罩幕414之線條的頂表面寬度實質上與第一間隙壁罩幕414和中間硬光罩層405的介面寬度相同。
間隙壁層412亦蝕刻成第一間隙壁罩幕414,其對第一犧牲罩幕410和中間硬光罩層405具高度選擇性。在一特定實施例中,第一犧牲罩幕410為單層罩幕,且相對單層具預定蝕刻選擇性。在另一特定實施例中,第一犧牲罩幕410為堆疊層,且相對於犧牲硬光罩部分(也就是相對於第一硬光罩層404A的材料)具期望的蝕刻選擇性。故根據本發明一實施例,組成間隙壁層412和第一間隙壁罩幕414的材料不同於第一犧牲罩幕410和中間硬光罩層405頂部的材料。在一實施例中,第一犧牲罩幕410頂部包含氮化矽,中間硬光罩層405包含氧化矽,間隙壁層412包含無定形矽或多晶矽,並且使用Cl2 或HBr氣體形成的電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在另一實施例中,第一犧牲罩幕410頂部包含氧化矽,中間硬光罩層405包含氮化矽,間隙壁層412包含無定形矽或多晶矽,並且使用Cl2 與HBr組合氣體產生的電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在又一實施例中,第一犧牲罩幕410頂部包含無定形係或多晶矽,中間硬光罩層405包含氮化矽,間隙壁層412包含氧化矽,並且使用C4 F8 氣體產生之電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在再一實施例中,第一犧牲罩幕410頂部包含無定形矽或多晶矽,中間硬光罩層405包含氧化矽,間隙壁層412包含氮化矽,並且使用CH2 F2 氣體產生之電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在另一實施例中,第一犧牲罩幕410頂部包含氧化矽,中間硬光罩層405包含無定形矽或多晶矽,間隙壁層412包含氮化矽,並且使用CHF3 與CH2 F2 組合氣體產生之電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在又一實施例中,第一犧牲罩幕410頂部包含氮化矽,中間硬光罩層405包含無定形矽或多晶矽,間隙壁層412包含氧化矽,並且使用CHF3 氣體產生之電漿進行乾蝕刻製程而蝕刻成第一間隙壁罩幕414。在本發明一特定實施例中,一旦露出第一犧牲罩幕410和中間硬光罩層405的頂表面,用於蝕刻第一間隙壁罩幕414的蝕刻製程即達終點。在一特定實施例中,偵側到終點後,略為過度蝕刻,以確保第一犧牲罩幕410之特徵至特徵(如線至線)之間的第一間隙壁罩幕414的線條不連續。
參照流程300之步驟308和對應的第4E圖,移除第一犧牲罩幕410。故根據本發明一實施例,第一犧牲罩幕410用來定義第一間隙壁罩幕414的間距和位置,接著被移除,只留下頻率為第一犧牲罩幕410兩倍的第一間隙壁罩幕414。
可利用任何對第一間隙壁罩幕414和中間硬光罩層 405具有高度選擇性的技術來移除第一犧牲罩幕410。根據本發明一實施例,第一犧牲罩幕410由單層所組成,並且以單一處理步驟相對第一間隙壁罩幕414具有選擇性地移除第一犧牲罩幕410。在一實施例中,第一間隙壁罩幕414包含無定形矽或多晶矽,中間硬光罩層405包含氧化矽,第一犧牲罩幕410實質上由氮化矽組成,並以選自於由熱磷酸(H3 PO4 )濕蝕刻或SiCoNi蝕刻構成之群組中的單一蝕刻步驟來移除第一犧牲罩幕410。在另一實施例中,第一間隙壁罩幕414包含無定形或多晶矽,中間硬光罩層405包含氮化矽,第一犧牲罩幕410實質上由氧化矽組成,並以選自於由氫氟酸水溶液濕蝕刻或SiCoNi蝕刻構成之群組中的單一蝕刻步驟來移除第一犧牲罩幕410。在又一實施例中,第一間隙壁罩幕414包含氧化矽,中間硬光罩層405包含氮化矽,第一犧牲罩幕410實質上由無定形矽或多晶矽組成,並以選自於由Cl2 電漿蝕刻和CF4 /O2 電漿蝕刻構成之群組中的單一蝕刻步驟來移除第一犧牲罩幕410。在再一實施例中,第一間隙壁罩幕414包含氮化矽,中間硬光罩層405包含氧化矽,第一犧牲罩幕410實質上由無定形矽或多晶矽組成,並以選自於由Cl2 電漿蝕刻和CF4 /O2 電漿蝕刻構成之群組中的單一蝕刻步驟來移除第一犧牲罩幕410。在另一實施例中,第一間隙壁罩幕414包含氮化矽,中間硬光罩層405包含無定形矽或多晶矽,第一犧牲罩幕410實質上由氧化矽組成,並以選自於由氫氟酸水構液濕蝕刻或SiCoNi蝕刻構成之群組的單一蝕刻 步驟來移除第一犧牲罩幕410。在又一實施例中,第一間隙壁罩幕414包含氧化矽,中間硬光罩層405包含無定形矽或多晶矽,第一犧牲罩幕410實質上由氮化矽組成,並以選自於由熱磷酸濕蝕刻或SiCoNi蝕刻構成之群組中的單一蝕刻步驟來移除第一犧牲罩幕410。
在另一實施例中,如同第4B圖實施例所述,第一犧牲罩幕410包含犧牲硬光罩部分位於犧牲罩幕部分上。例如,在一實施例中,組成犧牲硬光罩部分的材料選自於由氮化矽、氧化矽和無定形矽或多晶矽構成之群組中,犧牲罩幕部分則由無定形碳材料所組成,例如關於第一罩幕層404B之敘述中所描述的無定形碳材料。故根據本發明一實施例,上述用來相對第一間隙壁罩幕414和中間硬光罩層405選擇性移除第一犧牲罩幕410之材料組合物和蝕刻製程,同樣可用來相對第一間隙壁罩幕414和中間硬光罩層405選擇性移除犧牲硬光罩部分。堆疊犧牲罩幕之犧牲硬光罩部分底下的犧牲罩幕部分,實質上可在用來移除犧牲硬光罩部分的相同蝕刻步驟中移除。或者,可利用第二蝕刻步驟移除犧牲罩幕部分。在一實施例中,犧牲罩幕部分由無定形碳組成,並以電漿乾蝕刻移除,且電漿含有選自於由O2 與N2 組合物、或CH4 、N2 與O2 組合物構成之群組中的氣體。
參照流程300之步驟310和對應的第4F圖,將第一間隙壁罩幕414之映像轉移到中間硬光罩層405,以形成圖案化的中間硬光罩層407。如第4F圖所示,係相對第二 罩幕堆疊結構406具有選擇性地形成圖案化中間硬光罩層407。
如第4F圖所示,第二罩幕堆疊406包含第二硬光罩層406A位於第二罩幕層406B上。第二硬光罩層406A具有可適當保護第二罩幕層406B使其免受形成圖案化中間硬光罩層407之蝕刻製程影響的性質。根據本發明一實施例,中間硬光罩層405由單一材料組成,且對第一間隙壁罩幕414和第二硬光罩層406A具有選擇性地蝕刻該中間硬光罩層405。在一實施例中,中間硬光罩層405包含氮化矽,第一間隙壁罩幕414包含氧化矽,第二硬光罩層406A包含無定形或多晶矽。在另一實施例中,中間硬光罩層405包含氮化矽,第一間隙壁罩幕414包含無定形或多晶矽,第二硬光罩層406A包含氧化矽。在又一實施例中,中間硬光罩層405包含氧化矽,第一間隙壁罩幕414包含氮化矽,第二硬光罩層406A包含無定形矽或多晶矽。在再一實施例中,中間硬光罩層405包含氧化矽,第一間隙壁罩幕414包含無定形或多晶矽,第二硬光罩層406A包含氮化矽。在另一實施例中,中間硬光罩層405包含無定形或多晶矽,第一間隙壁罩幕414包含氮化矽,第二硬光罩層406A包含氧化矽。在又一實施例中,中間硬光罩層405包含無定形或多晶矽,第一間隙壁罩幕414包含氧化矽,第二硬光罩層406A包含氮化矽。在一特定實施例中,組成中間硬光罩層405的材料和用來蝕刻之的對應蝕刻製程係與上述第4B圖中用來圖案化該第一硬光罩層404A的 材料和使用的蝕刻組合物相同。第二硬光罩層406A的厚度夠薄,而足以隨後相對第二罩幕層406B進行高度選擇性蝕刻,且厚度又要夠厚,以免形成針孔而不當地露出第二罩幕層406B使其受到蝕刻中間硬光罩層405的蝕刻製程影響。在一實施例中,第二硬光罩層406A的厚度為15至40nm。
參照流程300之步驟312和對應的第4G圖(截面圖)和第4G'圖(俯視圖),移除第一間隙壁罩幕414,只留下已圖案化中間硬光罩層407於第二罩幕堆疊結構406上。該圖案化中間硬光罩層407包含第一間隙壁罩幕414之映像,因而包含一組線條,其頻率為第一犧牲罩幕410之線條頻率的兩倍,如第4G'圖俯視圖所示。移除第一間隙壁罩幕414,以形成第二間隙壁罩幕,其與轉移至中間硬光罩層405之第一間隙壁罩幕414的映像不平行。根據本發明一實施例,第一間隙壁罩幕414的厚度太大以致無法形成位於上方的第二間隙壁罩幕。如此,將第一間隙壁罩幕414之映像轉移到厚度實質小於第一間隙壁罩幕414的中間硬光罩層405。第二間隙壁罩幕接著以自我對準柱狀罩幕製造方式形成在該圖案化中間硬光罩層407上。可利用上述第4D圖中任何用來圖案化間隙壁層412的適當蝕刻製程來相對該圖案化中間硬光罩層407而選擇移除第一間隙壁罩幕414。
參照流程300之步驟314和對應的第4H圖,沉積第三罩幕堆疊430於該圖案化中間硬光罩層407上。圖案化 光阻層432形成在第三罩幕堆疊430上。此為自我對準柱狀圖案化方法中用來形成第二間隙壁罩幕的第一步驟。故根據本發明一實施例,圖案化光阻層432之線條不平行於圖案化中間硬光罩層407之線條。在一實施例中,如第4H圖所示,圖案化光阻層432之線條垂直於該圖案化中間硬光罩層407之線條。圖案化光阻層432和第三罩幕堆疊結構430可由任何材料或材料組合物所構成且具任何尺寸,該些材料與尺寸係如同參照第4A圖所述的圖案化光阻層402和第一罩幕堆疊404的材料與尺寸。
參照流程300之步驟316和對應的第4I圖,相對於圖案化中間硬光罩層407以及第二罩幕層406B對應露出部分具有選擇性地圖案化該第三罩幕堆疊430,以形成第二犧牲罩幕440。故根據本發明一實施例,第二犧牲罩幕440之線條不平行於該圖案化中間硬光罩層407之線條。在一實施例中,如第4I圖所示,第二犧牲罩幕440之線條垂直於該圖案化中間硬光罩層407之線條。可利用任何用來形成上述第4B圖之第一犧牲罩幕410的蝕刻製程來形成第二犧牲罩幕440。
參照流程300之步驟318和對應的第4J圖,間隙壁層442共形沉積於第二犧牲罩幕440和圖案化中間硬光罩層407上。間隙壁層442為最後變成自我對準柱狀圖案化方法中之第二間隙壁罩幕的材料來源。間隙壁層442可含由任何如參照第4C圖所述之間隙壁層412的材料。
再次參照流程300之步驟318和對應的第4K圖,間 隙壁層442經蝕刻而成為第二間隙壁罩幕444,以暴露出第二犧牲罩幕440和圖案化中間硬光罩層407的頂表面以及第二硬光罩層406A未被圖案化中間硬光罩層407覆蓋的部分。第二間隙壁罩幕444線條與第二犧牲罩幕440之特徵側壁共形。因此如第4K圖所示,每條第二犧牲罩幕440的線條具有兩條第二間隙壁罩幕444的線條。可使用任一用來蝕刻上述第4D圖之間隙壁層412的蝕刻製程來蝕刻間隙壁層442。然而根據本發明一實施例,該蝕刻製程必需額外對於第二硬光罩層406A未被該圖案化中間硬光罩層407所覆蓋的部分具有選擇性。
參照流程300之步驟320和對應的第4L圖(截面圖)和第4L'圖(俯視圖),相對於該圖案化中間硬光罩層407和第二硬光罩層406A未被該圖案化中間硬光罩層407覆蓋的部分具有選擇性地移除第二犧牲罩幕440。故根據本發明一實施例,第二犧牲罩幕440用來定義第二間隙壁罩幕444的間距和位置,接著被移除而只留下頻率為第二犧牲罩幕440兩倍的第二間隙壁罩幕444。第二間隙壁罩幕444之線條不平行於該圖案化中間硬光罩層407之線條。在一實施例中,如第4L'圖所示,第二間隙壁罩幕444之線條垂直於該圖案化中間硬光罩層407之線條。可使用任何用來移除上述第4E圖之第一間隙壁罩幕414的蝕刻製程來移除第二間隙壁罩幕444。然根據本發明一實施例,移除製程必需額外對於第二硬光罩層406A未被該圖案化中間硬光罩層407覆蓋的部分具有選擇性。
參照流程300之步驟322和對應的第4M圖(截面圖)和第4M'圖(俯視圖),將第二間隙壁罩幕444之映像轉移到該圖案化中間硬光罩層407而形成柱狀硬光罩409。如第4M及4M'圖所示,相對於第二罩幕堆疊結構406具有選擇性地形成柱狀硬光罩409。可利用上述第4F圖中任何用來將中間硬光罩層405變成圖案化中間硬光罩層407的蝕刻製程來蝕刻該圖案化中間硬光罩層407,而形成柱狀硬光罩409。
參照第4N圖(截面圖)和第4N'圖(俯視圖),移除第二間隙壁罩幕444,只留下柱狀硬光罩409於第二罩幕堆疊結構406之上。可使用上述任何用來移除第4G圖之第一間隙壁罩幕414的製程來移除第二間隙壁罩幕444。柱狀硬光罩409包含因第一間隙壁罩幕414不平行地覆蓋第二間隙壁罩幕444所造成的映像,因而包含一組柱狀物。在一實施例中,如第4N'圖所示,柱狀硬光罩409包含因第一間隙壁罩幕414與第二間隙壁罩幕444呈垂直之覆蓋方式所造成的映像,因而包含一組方柱。此柱狀物密度是利用第一犧牲罩幕410與第二犧牲罩幕440所達成之密度的四倍。
參照流程300之步驟324和對應的第4O圖(截面圖)和第4O'圖(轉向圖),將柱狀硬光罩409之映像轉移到第二罩幕堆疊結構406而於半導體層408上形成蝕刻罩幕470。在一實施例中,第二罩幕堆疊406實質上由單一材料組成,並以單一蝕刻步驟來蝕刻之而形成該蝕刻罩幕 470。在一特定實施例中,第二罩幕堆疊406實質含有選自於由氮化矽、氧化矽和無定形或多晶矽構成之群組中的單一材料。在另一實施例中,如同第4B圖所述,第二罩幕堆疊406包含第二硬光罩層406A於第二罩幕層406B上。故在一實施例中,如第4O及4O'圖所示,蝕刻罩幕470包含硬光罩部分470A和罩幕部分470B。
組成第二硬光罩層406A和硬光罩部分470A的材料與厚度的實施例已配合第4B圖說明於上。根據本發明一實施例,利用與最終用來形成罩幕部分470B之圖案化步驟不同的蝕刻步驟,將柱狀硬光罩409之映像轉移到第二硬光罩層406A。在一實施例中,第二硬光罩層406A實質上由無定形或多晶矽組成,並且使用CHF3 氣體進行乾蝕刻製程而蝕刻成硬光罩部分470A。在另一實施例中,第二硬光罩層406A實質上由氧化矽組成,並且使用選自於由CH2 F2 、和Cl2 與HBr氣體組合物所構成之群組中的氣體來進行乾蝕刻製程而蝕刻成硬光罩部分470A。在又一實施例中,第二硬光罩層406A實質上由氮化矽組成,並且使用選自於由C4 F8 、Cl2 和HBr所構成之群組中的氣體進行乾蝕刻製程而蝕刻成硬光罩部分470A。
根據本發明一實施例,接著利用第二蝕刻步驟,將柱狀硬光罩409之映像從硬光罩部分470A轉移至罩幕部分470B。第二罩幕層406B和該蝕刻罩幕470的罩幕部分470B可含任何實質上禁得起後續用來圖案化該半導體層408之蝕刻製程作用的材料。在一實施例中,第二罩幕層406B 包含無定形碳材料,例如參照第一罩幕層404B所敘述的無定形碳材料。在一特定實施例中,第二罩幕層406B和蝕刻罩幕470之罩幕部分470B的厚度是該蝕刻罩幕470之各柱狀物寬度的3.125至6.875倍。可使用任何可使蝕刻罩幕470之各線條維持實質垂直輪廓的蝕刻製程將第二罩幕層406B蝕刻成罩幕部分470B,如第4O及4O'圖所示。在一實施例中,第二罩幕層406B包含無定形碳,並以電漿乾蝕刻製程移除之,該電漿係由選自於由O2 與N2 組合物、或CH4 、N2 與O2 組合物構成之群組中的氣體所形成。
在此已描述使用二個間隙壁罩幕來製造蝕刻罩幕470的方法。蝕刻罩幕470接著可用來圖案化一例如用來製造積體電路元件的半導體層408。根據本發明一實施例,蝕刻罩幕470具有實質上由無定形碳材料組成的罩幕部分470B。進行蝕刻製程以圖案化半導體層408時,會鈍化該無定形碳材料,故在半導體層408的整個蝕刻過程中,能保持其映像(image)和尺寸。雖然柱狀硬光罩409具有用來圖案化該半導體層408的預定尺寸,但柱狀硬光罩409的材料可能不適合承受將映像精確轉移到半導體層的過程,也就是其在蝕刻過程中可能會裂化。故根據本發明一實施例,柱狀硬光罩之映像在轉移至半導體層之前,先轉移到含有無定形碳材料的膜層,如第4N及4O圖所示。另外,在一實施例中,一保護硬光罩層(即第二硬光罩層406A)設於柱狀硬光罩與無定形碳層之間,以於製造柱狀硬光罩 時,保護該無定形碳層。
半導體層408可為任何用於製作元件的膜層,或為任何製造時需使用柱狀罩幕的其他半導體結構。例如,根據本發明一實施例,半導體層408包含任何適合圖案化成清楚定義之半導體結構陣列的材料。在一實施例中,半導體層408由IV族材料或III-V族材料組成。此外,半導體層408可含任何形態以進行適當圖案化而成為清楚定義之半導體結構陣列。在一實施例中,半導體層408的形態係選自於由無定形、單晶和多晶構成之群組中。在一實施例中,半導體層408包含電荷載體摻雜劑原子。半導體層408更可設於一基材上。該基材可含任何適合承受製造製程的材料。在一實施例中,該基材包含彈性塑膠片。該基材更可包含適合承受製造製程且供半導體層配置其上的材料。在一實施例中,該基材包含第IV族材料,例如結晶矽、鍺或矽/鍺。在另一實施例中,基材包含第III至V族材料。基材還可包含絕緣層。在一實施例中,絕緣層含有選自於由氧化矽、氮化矽、氮氧化矽和高介電係數(k)介電層構成之群組中的材料。
本發明不阻於形成具方形柱狀物的柱狀罩幕。第5A-C圖為根據本發明一實施例使用二個間隙壁罩幕以非直角式自我對準柱狀圖案化方法之一連串步驟的俯視圖和角度視圖。
參照第5A圖,將第一間隙壁罩幕之映像轉移到中間硬光罩層,而形成一圖案化中間硬光罩層507。故第5A圖 對應於第4G'圖。參照第5B圖,將第二間隙壁罩幕之映像轉移到該圖案化中間硬光罩層507而形成柱狀硬光罩509。故第5B圖對應於第4N'圖。然根據本發明另一實施例,第二間隙壁罩幕不垂直於第一間隙壁罩幕。在一實施例中,第二間隙壁罩幕與第一間隙壁罩幕夾有角度θ,其中0∘<θ<90∘。在一特定實施例中,第二間隙壁罩幕與第一間隙壁罩幕夾有角度θ,其中45∘<θ<90∘。因此如第5B圖所示,柱狀硬光罩509包含一組角度呈θ的菱形狀柱狀物。此柱狀物的密度是利用第一犧牲罩幕410與第二犧牲罩幕440所達成之密度的四倍。參照第5C圖,將具菱形狀柱狀物的硬光罩509映像轉移到第二罩幕堆疊506,以於半導體層508上形成蝕刻罩幕570。
在此已揭露製造半導體罩幕的方法。在一實施例中,先將第一間隙壁罩幕的一組線條映像提供至罩幕層而形成一圖案化罩幕層。接著將第二間隙壁罩幕的一組線條映像提供至該圖案化罩幕層而形成由柱狀物組成的柱狀罩幕。第二間隙壁罩幕之線條映像不平行於第一間隙壁罩幕的線條。在一實施例中,第二間隙壁罩幕的線條映像垂直於第一間隙壁罩幕的線條。故柱狀罩幕的柱狀物具有方形形狀。在另一實施例中,第二間隙壁罩幕的線條映像與第一間隙壁罩幕的線條組夾有角度θ,其中45∘<θ<90∘。故柱狀罩幕的柱狀物呈菱形形狀。
102‧‧‧堆疊結構
104、108、110‧‧‧光阻層
106‧‧‧單幕
208‧‧‧半導體層
270A、270B‧‧‧罩幕
300‧‧‧流程
302、304、306、308、310、312、314、316、318、320、322、324‧‧‧步驟
400‧‧‧堆疊結構
402、432‧‧‧光阻層
404、406、430、506‧‧‧罩幕堆疊結構
404A、405、406A、407、507‧‧‧硬光罩層
404B、406B‧‧‧罩幕層
408、508‧‧‧半導體層
409、509‧‧‧硬光罩
410、440‧‧‧罩幕
410A、470A‧‧‧硬光罩部分
410B、470B‧‧‧罩幕部分
412、442‧‧‧間隙壁層
414、444‧‧‧間隙壁罩幕
470、570‧‧‧蝕刻罩幕
第1A-C圖為顯示根據先前技術之傳統半導體微影製程的截面圖。
第2A-B圖繪示根據本發明一實施例,使用多重間隙壁罩幕形成的柱狀罩幕截面。
第3圖為根據本發明一實施例的流程圖,繪示使用二個間隙壁罩幕之自我對準柱狀圖案化方法的一連串步驟。
第4A-O'圖為根據本發明一實施例,將第3圖流程的一連串步驟應用到半導體堆疊後的截面圖和俯視圖。
第5A-C圖為顯示根據本發明一實施例,使用二個間隙壁罩幕的非直角式自我對準柱狀圖案化方法中之一連串步驟的俯視圖和角度視圖。
300‧‧‧流程
302、304、306、308、310、312‧‧‧步驟
314、316、318、320、322、324‧‧‧步驟

Claims (16)

  1. 一種製造半導體罩幕的方法,該方法至少包含:提供一半導體結構,該半導體結構具有一第一犧牲罩幕,該第一犧牲罩幕包含在一罩幕層上的一第一組線條;形成一第一間隙壁罩幕,該第一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧牲罩幕之該第一組線條的側壁;移除該第一犧牲罩幕;且接著提供該第一間隙壁罩幕之該些間隙壁線條的映像至該罩幕層,而形成一具有多個分離的重複線條與未填充間隙的圖案化罩幕層;且未填充該些間隙;自該圖案化罩幕層移除該些間隙壁線條;且接著形成一第二犧牲罩幕,該第二犧牲罩幕包括一第二組線條在該圖案化罩幕層上與該圖案化罩幕層之該些未填充間隙的部分中;形成一第二間隙壁罩幕,該第二間隙壁罩幕具有多個間隙壁線條鄰接該第二犧牲罩幕之該第二組線條的側壁,其中該第二間隙壁罩幕的該些間隙壁線條不平行於該圖案化罩幕層中該第一間隙壁罩幕之該些間隙壁線條的映像;移除該第二犧牲罩幕;且接著提供該第二間隙壁罩幕之該些間隙壁線條的映像至該圖案化罩幕層,以形成由一組柱狀物所組成的一柱狀罩幕層。
  2. 如申請專利範圍第1項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條與該圖案化罩幕層中該第一間隙壁罩幕的該些間隙壁線條之映像垂直,其中該柱狀罩幕層的各柱狀物具有方形形狀。
  3. 如申請專利範圍第1項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條與該圖案化罩幕層中該第一間隙壁罩幕之該些間隙壁線條的映像夾有一角度θ,其中450 <θ<900 ,且該柱狀罩幕層的各柱狀物具有菱形形狀。
  4. 如申請專利範圍第1項所述之方法,其中該第一間隙壁罩幕的該些間隙壁線條之頻率為該第一犧牲罩幕之該第一組線條之頻率的兩倍。
  5. 如申請專利範圍第4項所述之方法,其中該第一犧牲罩幕之該第一組線條的線距約為4。
  6. 如申請專利範圍第5項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條之頻率為該第二犧牲罩幕之該第二組線條之頻率的兩倍。
  7. 如申請專利範圍第6項所述之方法,其中該第二犧牲罩幕之該第二組線條的線距約為4。
  8. 如申請專利範圍第1項所述之方法,其中該罩幕層是一層無定形碳膜。
  9. 一種製造半導體罩幕的方法,該方法至少包含:提供一半導體結構,該半導體結構具有一第一犧牲罩幕,該第一犧牲罩幕包含一第一組線條在一罩幕層上;沉積一第一間隙壁層於該半導體結構上,並且與該第一犧牲罩幕共形;蝕刻該第一間隙壁層,以形成一第一間隙壁罩幕,該第一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧牲罩幕之該第一組線條的側壁;移除該第一犧牲罩幕;且接著提供該第一間隙壁罩幕之該些間隙壁線條的映像至該罩幕層,而形成一具有多個分離的重複線條與未填充間隙的圖案化罩幕層;且未填充該些間隙;自該圖案化罩幕層移除該些間隙壁線條;且接著形成一第二犧牲罩幕,該第二犧牲罩幕包括一第二組線條於該圖案化罩幕層上與該圖案化罩幕層之該些未填充間隙的部分中;沉積一第二間隙壁層於該圖案化罩幕層上,並且與該第二犧牲罩幕共形;蝕刻該第二間隙壁層,以提供一第二間隙壁罩幕,該第 二間隙壁罩幕具有多個間隙壁線條鄰接該第二犧牲罩幕之該第二組線條的側壁,其中該第二間隙壁罩幕的該些間隙壁線條不平行於該圖案化罩幕層中該第一間隙壁罩幕之該些間隙壁線條的映像;移除該第二犧牲罩幕;且接著提供該第二間隙壁罩幕之該些間隙壁線條的映像至該圖案化罩幕層,以形成由一組柱狀物所組成的一柱狀罩幕層。
  10. 如申請專利範圍第9項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條垂直於該圖案化罩幕層中該第一間隙壁罩幕之該些間隙壁線條的映像,其中該柱狀罩幕層的各柱狀物具有方形形狀。
  11. 如申請專利範圍第9項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條與該圖案化罩幕層中該第一間隙壁罩幕之該些間隙壁線條的映像夾有一角度θ,其中450 <θ<900 ,且該柱狀罩幕層的各柱狀物具有菱形形狀。
  12. 如申請專利範圍第9項所述之方法,其中該第一間隙壁罩幕的該些間隙壁線條之頻率為該第一犧牲罩幕之該第一組線條之頻率的兩倍。
  13. 如申請專利範圍第12項所述之方法,其中該第一犧牲罩幕之該第一組線條的線距約為4。
  14. 如申請專利範圍第13項所述之方法,其中該第二間隙壁罩幕的該些間隙壁線條之頻率為該第二犧牲罩幕之該第二組線條之頻率的兩倍。
  15. 如申請專利範圍第14項所述之方法,其中該第二犧牲罩幕之該第二組線條的線距約為4。
  16. 如申請專利範圍第9項所述之方法,其中該罩幕層是一層無定形碳膜。
TW097120234A 2007-06-01 2008-05-30 使用多重間隙壁罩幕的自我對準柱狀圖案化方法 TWI505323B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US93263507P 2007-06-01 2007-06-01
US12/119,836 US20090017631A1 (en) 2007-06-01 2008-05-13 Self-aligned pillar patterning using multiple spacer masks

Publications (2)

Publication Number Publication Date
TW200908092A TW200908092A (en) 2009-02-16
TWI505323B true TWI505323B (zh) 2015-10-21

Family

ID=40093992

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097120234A TWI505323B (zh) 2007-06-01 2008-05-30 使用多重間隙壁罩幕的自我對準柱狀圖案化方法

Country Status (3)

Country Link
US (1) US20090017631A1 (zh)
TW (1) TWI505323B (zh)
WO (1) WO2008150430A1 (zh)

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US8080443B2 (en) 2008-10-27 2011-12-20 Sandisk 3D Llc Method of making pillars using photoresist spacer mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US8084347B2 (en) 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011249585A (ja) * 2010-05-27 2011-12-08 Elpida Memory Inc 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102015568B1 (ko) 2012-08-27 2019-08-28 삼성전자주식회사 반도체 소자의 제조 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10838309B2 (en) 2015-02-23 2020-11-17 Asml Netherlands B.V. Device manufacturing method and patterning devices for use in device manufacturing method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102325201B1 (ko) * 2015-04-22 2021-11-11 삼성전자주식회사 반도체 소자의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
EP3539154A4 (en) 2016-11-08 2020-06-03 Applied Materials, Inc. GEOMETRIC CONTROL OF PRESSURE COLUMNS FOR SAMPLE APPLICATIONS
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
TWI679690B (zh) * 2018-10-31 2019-12-11 力晶積成電子製造股份有限公司 半導體元件的製造方法
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916821A (en) * 1995-07-17 1999-06-29 Siemens Aktiengesellschaft Method for producing sublithographic etching masks
US20060263699A1 (en) * 2005-05-23 2006-11-23 Mirzafer Abatchev Methods for forming arrays of a small, closely spaced features

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
TWI292031B (en) * 2006-02-10 2008-01-01 Ind Tech Res Inst Dimension measuring method and optical measuring system implemented with the method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916821A (en) * 1995-07-17 1999-06-29 Siemens Aktiengesellschaft Method for producing sublithographic etching masks
US20060263699A1 (en) * 2005-05-23 2006-11-23 Mirzafer Abatchev Methods for forming arrays of a small, closely spaced features

Also Published As

Publication number Publication date
US20090017631A1 (en) 2009-01-15
TW200908092A (en) 2009-02-16
WO2008150430A1 (en) 2008-12-11

Similar Documents

Publication Publication Date Title
TWI505323B (zh) 使用多重間隙壁罩幕的自我對準柱狀圖案化方法
US11348788B2 (en) Methods for device fabrication using pitch reduction
TWI471903B (zh) 使用間隙物罩幕以倍增頻率之方法
JP4945802B2 (ja) ピッチ増倍を使用して製造された集積回路、及びその製造方法
TWI302635B (en) Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit
US8563229B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
KR101633927B1 (ko) 피치 멀티플라이드된 구조들 사이의 간격을 선택적으로 변경하는 방법
TWI493598B (zh) 利用光阻模板遮罩的倍頻方法
US7563712B2 (en) Method of forming micro pattern in semiconductor device
JP5236996B2 (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
US20080286449A1 (en) Template for Nano Imprint Lithography Process and Method of Manufacturing Semiconductor Device Using the Same
KR20090073157A (ko) 효율적인 피치 멀티플리케이션 프로세스
JP2009076810A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees