TWI744059B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI744059B
TWI744059B TW109137611A TW109137611A TWI744059B TW I744059 B TWI744059 B TW I744059B TW 109137611 A TW109137611 A TW 109137611A TW 109137611 A TW109137611 A TW 109137611A TW I744059 B TWI744059 B TW I744059B
Authority
TW
Taiwan
Prior art keywords
layer
spacer
mandrels
mask
forming
Prior art date
Application number
TW109137611A
Other languages
English (en)
Other versions
TW202125600A (zh
Inventor
黃冠維
陳育裕
謝志宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/018,705 external-priority patent/US11676821B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202125600A publication Critical patent/TW202125600A/zh
Application granted granted Critical
Publication of TWI744059B publication Critical patent/TWI744059B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種形成半導體裝置的方法,包括在目標層上圖案化心軸層以形成第一心軸和第二心軸,第一心軸的寬度大於第二心軸的寬度。在第一心軸和第二心軸上形成間隔物層,並改變以使第一心軸上的間隔物層的厚度大於第二心軸上的間隔物層的厚度。由間隔物層形成的間隔物,相鄰第一心軸的寬度大於相鄰第二心軸寬度。間隔物用於蝕刻目標層。

Description

半導體裝置的形成方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別關於一種半導體裝置的圖案化方法。
雙重圖案化是一種為微影技術而開發的技術,用以提升部件密度。一般而言,使用微影技術以在晶圓上形成積體電路的部件,其涉及應用光阻,並在光阻上定義部件。首先在微影遮罩中定義圖案化的光阻中的部件,並通過微影遮罩中透明的部份或不透明的部份以實施。之後將圖案化的光阻中的部件轉移到製造的部件上。
隨著積體電路持續微縮化,光學鄰近效應(optical proximity effect)帶來越來越大的問題。當兩個獨立的部件太靠近彼此,光學鄰近效應可能會導致部件彼此短路。為了解決這個問題,引入了雙重圖案化技術。在雙重圖案化技術中,位置緊密的部件被分離為同一雙重圖案化遮罩組的兩個微影遮罩,兩個遮罩均用於曝光相同的光阻,或用於圖案化相同的硬遮罩。在每個遮罩中,相對於原本為單個遮罩的部件間距,部件間距增加了,因此在雙重圖案化遮罩中,可以減小或大抵消除光學鄰近效應。
本發明一些實施例提供一種形成半導體裝置的方法,包括:圖案化位於目標層上的第一心軸層,以形成第一心軸以及第二心軸,第一心軸比第二心軸具有更大的寬度;在第一心軸以及第二心軸上沉積間隔物層;在間隔物層以及第一心軸上形成遮罩層;薄化位於第二心軸上的間隔物層;去除遮罩層;蝕刻間隔物層以形成間隔物蝕刻遮罩,間隔物蝕刻遮罩包括與第一心軸的側壁接觸的第一間隔物以及與第二心軸的側壁接觸的第二間隔物,第一間隔物比第二間隔物具有更大的寬度;以及以間隔物蝕刻遮罩為基準,蝕刻目標層。
本發明一些實施例提供一種形成半導體裝置的方法,包括:圖案化位於目標層上的第一心軸層,以形成第一心軸以及第二心軸,第一心軸比第二心軸具有更大的寬度;在第一心軸以及第二心軸上沉積間隔物層;在間隔物層以及第二心軸上形成遮罩層,位於第一心軸上的間隔物層的部份從遮罩層露出;在遮罩層以及在露出的間隔物層上沉積墊層;去除遮罩層;蝕刻位於第一心軸上的間隔物層以及墊層,以形成包括第一間隔物的第一間隔物蝕刻遮罩,以及蝕刻位於第二心軸上的間隔物層,以形成包括第二間隔物的第二間隔物蝕刻遮罩,第一間隔物各自比第二間隔物寬;以及以第一間隔物蝕刻遮罩以及第二間隔物蝕刻遮罩為基準,蝕刻目標層。
本發明一些實施例提供一種形成半導體裝置的方法,包括:在晶圓的第一區域中形成心軸的第一組合;在晶圓的第二區域中形成心軸的第二組合,心軸的第一組合的節距比心軸的第二組合寬;在心軸的第一組合以及心軸的第二組合上沉積第一間隔物層;在心軸的第一組合以及心軸的第二組合上沉積第二間隔物層;在第一區域上形成遮罩;在第二區域中心軸的第二組合上去除第二間隔物層;去除遮罩;在第二區域中蝕刻第一間隔物層,以及在第一區域中蝕刻第一間隔物層與第二間隔物層,以形成間隔物遮罩,間隔物遮罩包括在第一區域中的第一間隔物以及在第二區域中的第二間隔物,第一間隔物比第二間隔物寬;去除心軸的第一組合以及心軸的第二組合;以及蝕刻在間隔物遮罩下的目標層。
以下內容提供了許多不同實施例或範例,以實現本揭露實施例的不同部件。以下描述組件和配置方式的具體範例,以簡化本揭露實施例。當然,這些僅僅是範例,而非意圖限制本揭露實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。此外,本揭露實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本揭露根據各種示例性實施例,提供部件例如金屬線及其形成方法。本揭露根據一些實施例,繪示形成部件的中間階段。本揭露描述一些實施例的一些變化。在各種圖式及說明性實施例中,相同的參考數字用於指示相同的元件。
一般而言,自對準雙重圖案化(self-aligned double patterning, SADP)通過使用基礎圖案(有時稱為心軸層)來運作,其基礎圖案使用可用的微影製程進行圖案化。之後,將間隔物層順應性地(conformally)沉積在心軸層基礎圖案上,並蝕刻以去除間隔物層的水平部份。在去除心軸層基礎圖案之後,間隔物層的其餘垂直部份隨後成為用於對下層進行圖案化的遮罩。間隔物層垂直部份的寬度取決於間隔物層的沉積厚度。
本揭露提供能夠達成沉積在心軸層上具有不同厚度的間隔物層的實施例。當蝕刻間隔物層以去除水平部份時,所得的遮罩在單個晶粒或晶圓的不同區域中可以具有不同的寬度。具有較大寬度的遮罩在隨後形成的金屬線之間提供較大的間隔,而具有較小寬度的遮罩在隨後形成的金屬線之間提供較小的間隔。這些差異可有利地用於晶粒設計中,以達成較小的晶粒區域,其中金屬線需要較少的間隔,同時在晶粒的其他區域中保持較大的間隔。因此,總和晶粒面積可以有利地減小。例如,通過控制金屬線之間的間隔的寬度,可以控制線之間的電容效應。裝置對於電容效應較敏感的區域可以使用較厚的間隔物,以提供較大的間隔,而裝置對於電容效應較不敏感的區域可以使用較薄的間隔物,以提供較小的間隔。本揭露實施例提供在相同的裝置晶粒及圖案化製程中具有較厚或較薄的間隔物的方法。
第1A、1B及1C圖至第24A及24B圖係根據一些示例實施例,繪示在目標層中形成部件的中間階段的俯視圖及截面圖。第28A及28B圖至第35A及35B圖係根據其他示例實施例,繪示在目標層中形成部件的中間階段的俯視圖及截面圖。第37A及37B圖至第47A及47B圖係根據又一些示例實施例,繪示在目標層中形成部件的中間階段的俯視圖及截面圖。第49A及49B圖至第54A及54B圖係根據一些示例實施例,繪示在目標層中形成部件的中間階段的俯視圖及截面圖。
在第1A、1B及1C圖至第24A及24B圖、第28A及28B圖至第35A及35B圖、第37A及37B圖至第47A及47B圖以及第49A及49B圖至第54A及54B圖中,圖式數字可能包括字母“A”、字母“B”或字母“C”。字母“A”表示對應的圖式顯示垂直截面圖。 字母“ B”表示對應的圖式顯示通過上層的俯視圖或水平截面圖。字母“C”表示對應的圖式顯示垂直截面圖。具有相同數字及不同字母“A”、“B”及“C”的圖式表示為同一製程步驟的不同視圖。此外,“A”圖中的截面圖是從對應的“B”圖中包含線A-A的平面所獲得,(在適用情況下)“B”圖中的截面圖是從對應的“A”圖中包含線B-B的平面所獲得,而“C”圖中的截面圖是從對應的“B”圖中包含線C-C的平面所獲得。
第1A圖繪示沿著線A-A(參照第1B圖)的晶圓100的截面圖,其包括基板10以及上覆層。第1B圖為第1A圖及第1C圖的晶圓100的俯視圖。第1C圖繪示沿著線C-C(參照第1B圖)的晶圓100的截面圖。第1B圖包括第一區域100A、第二區域100B以及第三區域100C。第一區域100A將具有包括以第一節距形成的金屬線和導孔的金屬部件,並且第二區域100B將具有包括以第二節距形成的金屬線和導孔的金屬部件。換句話說,第一區域100A中的線之間的間距及/或線的寬度將與第二區域100B中的線之間的間距及/或線的寬度不同。可選的(optional)第三區域100C是其中沒有形成金屬線的區域。晶圓可以各別具有多個第一區域100A、第二區域100B及第三區域100C。
基板10可以由例如矽、矽鍺等的半導體材料形成。根據本揭露的一些實施例,基板10是晶體半導體基板,例如晶體矽基板、晶體矽碳基板、晶體矽鍺基板、III-V族化合物半導體基板等。在基板10的頂表面上形成主動裝置12,主動裝置12可以在其中包括電晶體。
介電層14形成在基板10上。根據本揭露的一些實施例,介電層14是金屬間介電層(Inter-Metal Dielectric, IMD)或層間介電層(Inter-Layer Dielectric, ILD),例如,其可以由介電常數(k值)小於3.8、小於大約3.0或小於大約2.5的介電材料所形成。介電層14可以包括氧化矽層,例如原矽酸四乙酯(tetraethyl orthosilicate, TEOS)形成的氧化矽等。根據本揭露的一些實施例,在介電層14中形成導電部件16,其可以是金屬部件,例如銅線或鎢接觸插塞等。在一些實施例中,蝕刻停止層26形成在介電層14上。蝕刻停止層26可以由介電材料形成,例如碳化矽、氮化矽、碳氧化矽、氮氧化矽等。在一些實施例中,可以省略蝕刻停止層26。
在蝕刻停止層26上進一步形成介電層28。介電層28可以是IMD層,例如,其可以由介電常數(k值)小於3.8、小於大約3.0或小於大約2.5的介電材料形成。介電層14可以包括氧化矽層,例如TEOS形成的氧化矽等。介電層28可以是包括碳的化合物,並且可以在其中具有孔洞。根據本揭露的替代實施例中,介電層28並非為低介電常數的介電層,其為介電常數等於或大於3.8的介電層。在整個說明書中,層28也稱為目標層28。根據本揭露的一些實施例,將在目標層28內形成多個圖案化的部件。
根據其他實施例,層28為半導體基板。根據本公開的這些實施例,可以省略在層28下的一個或多個附加層。因此,根據這些實施例,如第1A圖所示的基板10、介電層14及導電部件16可能不存在。
在目標層28上方存在硬遮罩30,其可以由介電材料,例如氧化矽(例如由TEOS形成的氧化物)、氮化矽、無氮抗反射塗層(Nitrogen-Free Anti-Reflective Coating, NFARC,其為氧化物)、碳化矽、氮氧化矽、碳氧化矽等形成。硬遮罩30的形成方法包括電漿增強化學氣相沉積(Plasma Enhance Chemical Vapor Deposition, PECVD)、高密度電漿(High-Density Plasma, HDP)沉積、化學氣相沉積(Chemical Vapor Deposition, CVD)、原子層沉積(Atomic Layer Deposition, ALD)等。
在一些實施例中,硬遮罩30可以包括多個層(例如,參照第19A及19B圖)。硬遮罩30的底層可以是無氮抗反射塗層(NFARC),並且可以在約6nm至30nm之間。下一層可以是硬遮罩材料,例如氮化物,例如氮化矽、氮化鈦、氮化鉭等,並且厚度可以為約20nm至25nm。下一層可以是氧化物層,例如由TEOS形成的氧化矽、碳化矽、碳氧化矽等,並且厚度可以為約20nm至30nm。
硬遮罩32形成在硬遮罩30上。根據本揭露的一些實施例,硬遮罩32與硬遮罩30接觸,而在硬遮罩32和硬遮罩30之間並未形成附加層。根據本揭露的一些實施例,硬遮罩32由非晶矽或相對於下方的硬遮罩30具有高蝕刻選擇性的另一種材料形成。例如,當蝕刻硬遮罩32時,蝕刻選擇性,其為硬遮罩32的蝕刻速率與硬遮罩30的蝕刻速率之比例,大於約20或大於約50。在整個說明書中,硬遮罩32也稱為心軸(mandrel)層32。
第1A、1B及1C圖也繪示第一微影製程。在心軸層32上形成光遮罩33,其已被圖案化以形成開口351A 和351B 。應當理解,除非另有說明,否則本揭露中使用的對光遮罩的任何引用可以包括單一光阻或三層光遮罩。因此,光遮罩33可以包括單一光阻或三層光遮罩。三層可以包括底層、在底層之上的中間層以及在中間層之上的上層。根據本揭露的一些實施方式,下層和上層由有機材料形成的光阻形成。中間層可以由無機材料形成,其無機材料可以是氮化物(例如氮化矽)、氧氮化物(例如氧氮化矽)、氧化物(例如氧化矽)等。相對於上層和下層,中間層具有高蝕刻選擇性,因此,上層作為用於圖案化中間層的蝕刻遮罩,而中間層作為用於圖案化下層的蝕刻遮罩。
例如,在微影製程中,施加光遮罩33並對其圖案化以形成第一區域100A中的開口351A 及第二區域100B中的開口351B 。在第25圖所示的製程流程中,對應步驟被示為步驟205。根據本揭露的一些實施例,開口351 位於具有彼此平行的半導體條的俯視形狀的區域之間。可以以不同的寬度和不同的節距彼此分開地形成多個開口。第3A、3B及3C圖更詳細地討論第一區域100A和第二區域100B的節距和寬度。第一區域100A中的開口351A 和第二區域100B中的開口351B 表示可以形成沿著x方向延伸的金屬部件(例如金屬線)的交叉區域。第一區域100A中的開口351A 可以具有在大約44nm與大約100nm之間的長度尺寸D1A ,以及在大約6nm與大約20nm之間的寬度尺寸D2A 。第二區域100B中的開口351B 可以具有在大約50nm與大約120nm之間的長度尺寸D1B 和在大約6nm與大約20nm之間的寬度尺寸D2B 。尺寸D2A 可以與尺寸D2B 具有相同的值。第一區域100A中相鄰開口351A 之間的節距可以在大約20nm至大約38nm之間。第二區域100B中相鄰開口351B 之間的節距可以大於第一區域100A中的節距,並且可以在大約25nm至大約45nm之間。如上所述,節距繪示於第3A、3B及3C圖中。
在第2A、2B及2C圖中,執行蝕刻製程以將光遮罩33中的開口351A 及開口351B 延伸到心軸層32中。在第25圖所示的製程流程中,對應步驟被示為步驟210。之後去除剩餘的光遮罩33,例如在灰化步驟中去除剩餘的光遮罩33。所得的結構在第2A、2B及2C圖中示出。蝕刻為非等向性蝕刻,使得心軸層32中的開口351A 和開口351B 與光遮罩33中對應的開口具有相同或相似的尺寸。根據本揭露的一些實施例,開口351A 和開口351B 可以包括矩形開口。在一些實施例中,可以在蝕刻心軸層32之前使用修整製程。其中修整製程為非等向性蝕刻製程,以進一步減小光遮罩33的每個圖案的寬度。
根據一些實施例,心軸層32的蝕刻在製程腔室(未示出)中進行,其製程腔室包括製程氣體,例如CF4 、HBr、Cl2 、O2或其組合。製程氣體的流速可以在約3sccm至約500sccm之間的範圍內。製程氣體的壓力可以在約5mTorr至約50mTorr之間的範圍內。應當理解,本揭露中所引用的數值僅為示例,並且可以採用不同的數值。
在第3A、3B及3C圖中,繪示第二微影製程。在心軸層32上方以及在開口351A 和351B 中形成光遮罩34,其在第一區域100A中已被圖案化為光遮罩34A、在第二區域100B中已被圖案化為光遮罩34B以及在第三區域100C中已被圖案化為光遮罩34C。在第25圖所示的製程流程中,對應步驟被示為步驟205(從步驟210開始)。在光遮罩34中形成第一區域100A中的開口352A 和第二區域100B中的開口352B 。根據本揭露的一些實施例,開口352A 和開口352B 具有彼此平行的半導體條的俯視形狀。開口351A 和351B 定向(orientated)於x方向,而開口352A 和352B 定向於y方向。
以兩個不同的寬度和兩個不同的節距形成光遮罩34A和光遮罩34B的圖案化部件,例如半導體條。光遮罩34A的圖案化部件的節距P1A 可以在大約20nm至大約38nm之間。光遮罩34A的圖案化部件的寬度W1A 可以在大約15nm至大約45nm之間。光遮罩34B的圖案化部件的節距P1B 可以在大約25nm至大約45nm之間。光遮罩34B的圖案化部件的寬度W1B 可以在大約20nm至大約55nm之間。間距P1B 可以大於間距P1A 約5nm至約20nm。寬度W1B 可以大於寬度W1A 約5nm至約30nm。光遮罩34A的相對較小的節距P1A 和寬度W1A 可用於在後續製程中形成金屬線,所述金屬線比基於相對較大的節距P1B 和寬度W1B 形成的金屬線更靠近。
應當理解,儘管描述包括兩個不同的寬度和兩個不同的節距,然而通過採用如下所述的製程,可以使用任意數量的寬度和節距。
在第4A和4B圖中,執行蝕刻製程以將光遮罩34A和光遮罩34B中的圖案分別轉移到心軸32A和心軸32B中,並且將開口352A 和開口352B 延伸到心軸層32中。心軸32B在第二區域100B中並且對應於微小間距,心軸32A在第一區域100A中並且對應於更微小的間距。在心軸層32中,也可以蝕刻一個或多個大心軸片32C。在第25圖所示的製程流程中,對應步驟被示為步驟210。之後去除剩餘的光遮罩34,例如在灰化步驟中去除剩餘的光遮罩34。所得的結構顯示於第4A和4B圖中。可以使用相似於上述第2A、2B和2C圖所討論的製程和材料以進行蝕刻。
在一些實施例中,可執行烘烤製程以烘烤晶圓100。在使用烘烤製程的實施例中,烘烤製程進行約5分鐘至約20分鐘之間。烘烤溫度可以在約250℃至約500℃之間的範圍內。烘烤製程可以恢復或修復心軸32A、32B和32C的材料,其損害可能是由蝕刻所引起。
應當理解,儘管上述圖案化製程使用第一圖案化步驟在一個方向上以在心軸層32中形成線和開口,並且使用第二圖案化步驟在垂直方向上以在心軸層32中形成線和開口, 在一些實施例中,可以結合這些步驟。也可以以相反的順序進行這些步驟。在一些實施例中,可以首先在每個方向上對心軸層上方單獨的層(例如,光遮罩34的下層)進行圖案化以形成組合的圖案遮罩,然後使用組合的圖案遮罩對心軸層進行蝕刻。
第5A圖是沿著第5B圖所示的線A-A的垂直截面,並且第5B圖是沿著第5A圖所示的線B-B的水平截面(而非俯視圖)。在第6B圖、第7B圖、第8B圖、第11B圖、第12B圖、第13B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖和第21B圖中也使用相似的水平截面。在第5A和5B圖中,在晶圓100上方毯覆形成間隔物層38。在第25圖所示的製程流程中,對應的步驟被示為步驟215。可以選擇間隔物層38的材料以相對於硬遮罩30具有高蝕刻選擇性。例如,間隔物層38的材料可以選自AlO、AlN、AlON、TaN、TiN、TiO、Si、SiO2 、SiN以及其他金屬和金屬合金。
如第5A和5B圖所示,間隔物層38形成為順應層,並且間隔物層38的水平部份和垂直部份的厚度彼此接近,例如,差異小於約20%。可以使用順應性沉積方法,例如CVD或ALD以沉積間隔物層38。根據一些實施例,心軸32A上的間隔物層38的厚度T1A 在約50Å至約250Å之間的範圍內,例如在約100Å至約200Å之間的範圍內。心軸32B上的間隔物層38的厚度T1B 與厚度T1A 相同。由於在第一區域100A中的節距與在第二區域100B中的節距不同,所以可以改變間隔物層38,使得厚度T1B 大於厚度T1A ,如本揭露所述。如第5B圖所示,間隔物層38可以跨過間隙38g合併在一起。在其他實施例中,間隔物層38可以不跨過間隙38g合併(例如,參照第28C圖)。
在第6A和6B圖中,光遮罩142可以形成在晶圓100上並且被圖案化以露出第一區域100A,同時保留在第二區域100B上。在第25圖所示的製程流程中,對應的步驟被示為步驟220。在一些實施例中,光遮罩142可以是三層光遮罩,包括下層138和中間層140。對上層進行圖案化,並將上層用於圖案化中間層140,之後將中間層用於圖案化下層138。用於形成和圖案化光遮罩142的材料和製程可以相似於上述第1A和1B圖所討論的材料和製程,此處不再重複。
在第7A和7B圖中,執行修整製程以減小第一區域100A中的間隔物層38的厚度T1A 。在第25圖所示的製程流程中,對應的步驟被示為步驟225。修整製程可以使用乾式蝕刻或濕式蝕刻製程來執行,所述乾式蝕刻或濕式蝕刻製程將以大抵均勻的方式等向性地蝕刻露出的間隔物層38材料。在使用乾式蝕刻的情況下,用於修整間隔物層38的示例性蝕刻劑可以包括氟反應性氣體,例如碳氟基的蝕刻劑(Cx Fy )、NF3 、SF6 、Cl2 、HBr等。可以將其他製程氣體與碳氟基的蝕刻劑結合使用,例如氧氣(O2 )、氮氣(N2 )、氬氣(Ar)、其組合等。在一個實施例中,用於圖案化間隔物層38的製程氣體可以不含氫。在使用濕式蝕刻的情況下,用於修整間隔物層38的示例蝕刻劑可以包括HF溶液或另一種合適的蝕刻劑。
修整製程可以從間隔物層38的厚度T1A 去除約20Å至約70Å之間,例如約25Å至約35Å之間。所得的厚度T2A 可以在約25Å與約250Å之間,例如在約75Å與約175Å之間。
在第8A和8B圖中,可以通過任何合適的技術去除光遮罩142。在第25圖所示的製程流程中,對應的步驟被示為步驟230。在一些實施例中,可以通過清潔或蝕刻來去除光遮罩142,並且在其他實施例中,可以使用灰化製程來去除光遮罩142。第二區域100B中的間隔物層38具有與厚度T1B 相同的厚度T2B 。然而,第一區域100A中的間隔物層38現在具有厚度T2A ,其厚度T2A 比先前的厚度T1A 薄,並且也比厚度T2B 薄。在光遮罩142在間隔物層38上方的邊緣處,可以形成高度為T2B -T2A 的台階,對應於從第一區域100A中的間隔物層38修整的量。
第9A和9B至12A和12B圖繪示用於在部份的間隔物層上形成恢復材料或犧牲材料的可選的製程。其可以以切線製程以填充部份的間隔物層38來完成,其最終會導致隨後形成的金屬線斷線。在第26圖所示的製程流程中,對應的步驟被示為步驟260、262、264和266。這些相同的製程可以用在本揭露所述的任何實施例中,例如,在蝕刻間隔物層38以形成間隔物之前或之後。
在第9A和9B圖中,其包括截面圖和俯視圖,光遮罩152形成在晶圓100上並且被圖案化。對光遮罩152的上層150(或中間層)進行圖案化以形成開口153,其對應於隨後形成的金屬線的切線區域。在第26圖所示的製程流程中,對應的步驟被示為步驟260。可以使用與上述關於第1A和1B圖的光遮罩34所討論的相似製程和材料來形成和圖案化光遮罩152,在此不再重複。
在第10A和10B圖中,其包括截面圖和俯視圖,開口153延伸到光遮罩152的下層148中,從而露出間隔物層38。可以使用與上述關於第1A和1B圖所討論的相似製程和材料來延伸開口153。在一些實施例中,間隔物層38可能已經被蝕刻成間隔物,並且在一些實施例中,心軸32A和32B可以已經被去除,例如,如果其製程是從第25圖的步驟235或步驟240開始。如第10A和10B圖所示,開口153可以跨越多個心軸32A及/或32B。此外,應當理解,儘管開口153被示為沿著x方向形成,但是開口也可以沿著垂直方向(y方向)形成,可以在相同或分開的步驟中形成。可以在圖案化下層148的製程中去除光阻152的上層150,或者可以通過單獨的蝕刻製程來去除光阻152的上層150。
在第11A和11B圖中,其包括垂直截面圖和水平截面圖的,犧牲材料154(有時也稱為反向材料)沉積在底層148上並進入開口153中。在第26圖所示的製程流程中,對應的步驟被示為步驟264。在一些實施例中,犧牲材料154也沉積在中間層150上。在其他實施例中,在沉積犧牲材料154之前去除中間層150。在各種實施例中,犧牲材料154包括無機材料。 例如,犧牲材料154可以是無機氧化物,例如氧化鈦、氧化鉭、氧化矽等。在一些實施例中,無機材料是低溫氧化物(low temperature oxide, LTO)。 如本揭露所用,術語“LTO”是指使用相對低的製程溫度(例如200℃或更低)沉積的氧化物。在一些實施例中,犧牲材料154可以包括氮化物,例如氮化矽或氮氧化矽等。可以選擇犧牲材料154以相對於相同的蝕刻製程對間隔物層38具有足夠的蝕刻選擇性。例如,在一些實施例中,相對於相同蝕刻製程,犧牲材料154的蝕刻速率與間隔物層38的蝕刻速率之比例至少為0.7。
可以使用半導體膜沉積製程,例如CVD、PVD、ALD等來形成犧牲材料154。半導體膜沉積製程可以是順應性製程,其形成在開口153的側壁和底表面上(參照第10A和10B圖)。隨著沉積的繼續,犧牲材料154在開口153的相對側壁上的部份可以合併,從而填充開口153。作為半導體膜沉積製程的結果,犧牲材料154的頂表面可能不是平坦的,如第11A和11B圖所示。
在第12A和12B圖中,其包括截面圖和俯視圖,在回蝕製程中,去除光遮罩152並且修整犧牲材料154。在第26圖所示的製程流程中,對應的步驟被示為步驟266。首先,執行平坦化製程(例如,化學機械拋光(chemical mechanical polish, CMP)、乾式蝕刻、其組合等),以去除開口153外部的犧牲材料154的多餘部份。在犧牲材料154形成在中間層150上的實施例中,平坦化製程也可以去除中間層150。在平坦化製程之後,露出底層148,並且犧牲材料154和底層148的頂表面可以是平坦且共平面的。在一些實施例中,平坦化製程也可以去除在犧牲材料154中形成的凹洞(divots)。
接下來,使用灰化製程去除底層148。在去除底層148之後,保留犧牲材料154的柱體。剩餘的犧牲材料154掩蓋間隔物層38的選擇區域。在一些實施例中,犧牲材料154可以從第一心軸32A或32B上的間隔物層38的第一側壁部份跨到對應第二心軸32A或32B上的間隔物層38的第二側壁部份。
然後可以在回蝕製程中修整犧牲材料154,以獲得期望的輪廓。在一些實施例中,修整犧牲材料154使犧牲材料154凹陷在間隔物層38的最頂表面下,例如凹陷在心軸32A和32B的頂表面下。修整犧牲材料154可以露出心軸124上的間隔物層126的部份。在一些實施例中,修整犧牲材料154也可以在至少截面B-B(第12B圖12)中減小犧牲材料154的寬度。
修整犧牲材料154可以包括乾式蝕刻製程或乾式蝕刻製程和濕式蝕刻製程的組合。用於修整犧牲材料154的實施例乾式蝕刻製程可以包括使用碳氟基蝕刻劑(例如,CF4 )。可以將其他製程氣體與碳氟基的蝕刻劑結合使用,例如氧氣(O2 )、氮氣(N2 )、氬氣(Ar)、其組合等。用於修整犧牲材料154的實施例濕式蝕刻製程可以包括使用稀釋的氫氟酸作為蝕刻劑。可以例如通過控制修整製程的濃度和持續時間以達成犧牲材料154的期望形狀。
在第13A和13B圖中,其分別包括垂直截面圖和水平截面圖,進行非等向性蝕刻以去除間隔物層38的水平部份,而保留間隔物38的垂直部份。在第25圖所示的製程流程中,對應的步驟被示為步驟235。間隔物層38的剩餘部份在下文中稱為間隔物40A和間隔物40B,其中間隔物40A在第一區域100A中,並且間隔物40B在第二區域100B中。在一些實施例中,利用乾式蝕刻製程蝕刻間隔物層38,乾式蝕刻製程使用合適的蝕刻劑,例如CH4 、Cl2 、其組合等。在乾式蝕刻製程期間,可以與蝕刻劑結合使用其他製程氣體,例如氮氣(N2 )。乾式蝕刻製程可以以非等向性並且蝕刻間隔物層38的露出的側向部份,而不會顯著去除間隔物層38的垂直部份。
如第13A圖所示,間隔物40A在心軸32A的側壁上,並且間隔物40B在心軸32B的側壁上。參照第13B圖,間隔物40A和間隔物40B可以包括在x方向和y方向上的縱向部份,並且可以形成各種形狀。在一些實施例中,間隔物40A和間隔物40B可包括相對於x方向和y方向成非零角度的縱向部份,或者可包括曲線(curved)部份、圓化(rounded)部份、圓形(circular)部份等。
在利用犧牲材料154的實施例中,間隔物41A和41B分別包括在心軸32A和32B的側壁上的間隔物層38的一部份,以及犧牲材料154的一部份,其填充間隔物層38中的間隙。因此,間隔物41A和41B將定義在隨後形成的金屬線中的切線。
第14A和14B圖至第16A和16B圖繪示可選的保留製程(keep process),其用於保留心軸層32的部份以用於幫助圖案化下方的硬遮罩30。在不使用保留過程的實施例中,可以使用蝕刻過程去除心軸32A和32B露出的部份。在第25圖所示的製程流程200中,對應的步驟被示為步驟240。可選的保留過程包括示為步驟268、270及272的步驟,作為第27圖所示製程中的步驟240。這些相同的製程可用於本揭露所述的任何實施例中以移除心軸。
在第14A和14B圖中,其包括截面圖和俯視圖,光遮罩162形成在晶圓100上並被圖案化。對光遮罩162的上層160(或中間層)進行圖案化,以形成對應於應去除的心軸層32的區域的開口163。保留的區域(例如保留區域164)對應於隨後形成的金屬線中的其他切線。 在第27圖所示的製程流程中,對應的步驟被示為步驟268。可以使用上述關於第1A和1B圖的光遮罩34所討論的相似的製程和材料來形成和圖案化光遮罩162,在此不再重複。
在第15A和15B圖中,其包括垂直截面圖和水平截面圖,開口163延伸到光遮罩162的底層158中,從而露出心軸層32。間隔物40A和40B的部份也可以露出。保留的心軸層32的區域,即保留區域164,繼續被光遮罩162覆蓋。可以使用與上述關於第1A和1B圖所討論的相似的製程和材料來延伸開口163。保留區域164可以跨越多個心軸32A及/或32B,並且也可以覆蓋心軸層32C的部份。可以在圖案化底層158的製程中去除光阻162的上層160,或者可以通過單獨的蝕刻製程來去除光阻162的上層160。
在第16A和16B圖中,其分別包括截面圖和俯視圖,在蝕刻步驟中選擇性地蝕刻心軸層32,以去除露出的心軸32A、32B及32C,從而在第一區域100A中形成開口43A、在第二區域100B中形成開口43B以及在第三區域100C中形成開口43C。在第27圖所示的製程流程中,對應的步驟被示為步驟272。根據一些實施例,使用濕式蝕刻或乾式蝕刻來執行蝕刻。各個蝕刻劑可以包括HF溶液或NF3 和NH3 氣體的混合物,並且適當的蝕刻劑取決於心軸層32的材料。在不使用保留製程形成保留區域164的實施例中,可以在不使用任何光阻覆蓋晶圓100的情況下執行蝕刻。
通過此製程可以達成幾種不同的間隔物寬度。間隔物40A的寬度與修整製程之後的厚度T2A 大致相同。間隔物40B的寬度與厚度T2B 大致相同。間隔物41A的寬度是兩倍的間隔物40A的寬度加上心軸32A之間的寬度。間隔物41C包括間隔物40A的寬度或間隔物40B的寬度加上第三區域100C中的保留區域164的寬度。間隔物41C包括間隔物40A加上保留區域164。
在第17A和17B圖中,其分別包括截面圖和俯視圖,間隔物40A、40B、41A、41B和41C(參考第16A及16B圖)一起用作遮罩以蝕刻硬遮罩30。在第25圖所示的製程流程中,對應的步驟被示為步驟245。蝕刻硬遮罩30以在第一區域100A、第二區域100B和第三區域100C的每一個中包括圖案化的硬遮罩30。在圖案化硬遮罩30的情況下,在第一區域100A中形成開口44A、在第二區域100B中形成開口44B並且在第三區域100C中形成開口44C。開口44A、開口44B和開口44C對應於將在目標層28中形成的開口。開口44A、開口44B和開口44C對應於目標層28中的開口之間的間隔。因為能夠將不同的寬度用於間隔物40A和40B,所以隨後形成的金屬線可以具有靈活的間隔。
參照第18A和18B圖,其分別包括截面圖以及俯視圖,相似於第17A和17B圖,其中犧牲材料154繪示為處於另一位置,與心軸32C和相關的保留區域164相鄰。通過結合上述幾種技術,間隔物41C可以包括保留區域164、間隔物40A以及犧牲材料154。
參照第19A和19B圖,其分別包括橫截面圖和俯視圖,根據一些實施例,硬遮罩層30被示為三個不同的層。如上述關於第1A圖所討論,遮罩30A可以是NFARC、遮罩30B可以是硬遮罩並且遮罩40A可以是氧化物等。可以依次蝕刻硬遮罩30的每個遮罩層,以使用相應的合適的蝕刻劑在其中延伸開口43A、43B以及43C。
參照第20A和20B圖,遮罩30C中的開口43A、43B和43C被轉移到底層30B。可以使用任何適當的技術,例如適當的蝕刻製程。剩餘的間隔物層38、犧牲材料154和心軸層30也可以通過蝕刻、研磨或通過CMP製程去除。
參照第21A和21B圖,遮罩30B中的開口43A、43B和43C被轉移到底層30A。可以使用任何適當的技術,例如適當的蝕刻製程。
第22A和22B圖示出第17A和17B圖之後或第21A和21B圖之後的製程。開口44A、44B和44C定義將被去除的目標層28的區域。
在第23A和23B圖中,將圖案化的硬遮罩30或30A用作蝕刻遮罩以蝕刻目標層28,從而形成溝槽46A和溝槽46B。在第25圖所示的製程流程中,對應的步驟被示為步驟250。在一些實施例中,在蝕刻目標層28之前,可以沉積並圖案化遮罩層以保護第三區域100C。也可以執行附加的製程步驟以定義及蝕刻目標層28,以形成溝槽46下的導孔開口48。蝕刻停止層26也被蝕刻。導電部件16通過導孔開口48露出。接著,去除圖案化的硬遮罩30或30A。替代地,如第23A和23B圖所示,在隨後的平坦化期間或之後去除圖案化的硬遮罩30或30A,以形成金屬線50和導孔52。
在第24A和24B圖中,用一種或多種導電材料填充溝槽46和導孔開口48,以形成金屬線50和導孔52。在第25圖所示的製程流程中,對應的步驟被示為步驟255。可以使用雙鑲嵌製程形成金屬線及導孔。其中在溝槽46和導孔開口48的側壁和底部上形成導電阻障層,例如氮化鈦、鈦、氮化鉭、鉭等。然後用導電材料填充溝槽46和導孔開口48的剩餘部份,其導電材料可以包括銅或銅合金,或另一種導電材料。然後執行化學機械拋光(CMP)以去除阻擋層和填充金屬的多餘部份,從而形成金屬線50和導孔52,如第23A和23B圖所示。金屬線50和導孔52電性連接到下方的導電部件16。如第24A圖所示,CMP可以停止在目標層28上,或者如果尚未去除圖案化的硬遮罩30A和30B,則可以將CMP停止在圖案化的硬遮罩30A和30B上。
根據本揭露的替代實施例,目標層28是半導體基板。因此,第1A、1B和1C至24A和24B圖所示的製程步驟可用於在目標層28中形成溝槽,並用介電材料填充溝槽以形成淺溝槽隔離(Shallow Trench Isolation, STI)區域。
第24B圖示出形成在目標層28中的金屬線50的俯視圖。金屬線50包括兩個間隔S1和S2,間隔S2大於間隔S1。間隔S2由間隔物40B的厚度T2B (第8A圖)決定。有利地,如上所述,可以通過調整第一區域100A中的間隔物層38的修整量來調整間隔S1。因此,本揭露的實施例具有將金屬線之間的間隔調整為具有不同數值的靈活性。
在上述針對第1A、1B和1C到25圖討論的製程中,當形成間隔物層38時(參照第5A和5B圖),由於間隔物層38的相對厚度,存在間隔物層38的垂直支腳可能在第一區域100A中合併在一起的風險。另外,由於間隔物層38的尺寸和間隔,在執行修整製程時(參照第7A和7B圖),間隔物層38可在90度角處遭受角狀效應(horning effect),其中在角落處的間隔物層38形成角狀形狀。這種角狀形狀可能在隨後的圖案形成步驟和金屬線50的形成中引起缺陷。
在其他實施例中,可以減少或消除角狀效應。第28A和圖28B至36圖係根據本揭露的一些實施例,繪示的在部件,例如金屬線的形成中間階段的截面圖。第28A和圖28B至36圖的製程減少或消除了角狀效應。除非另有說明,否則這些實施例中的部件的材料和形成方法與相同的部件大抵相同,與在第1A、1B和1C至25圖所示的實施例中,具有相同的參考數字。因此,可以在第1A、1B和1C至24A和24B圖所示實施例的討論中,找到關於第28A和28B至36圖所示的相似部件的形成製程和材料的細節。
第28A圖是沿著第28B圖所示的線A-A的垂直橫截面,並且第28B圖是沿著第28A圖所示的線B-B的水平橫截面(而不是俯視圖)。第28C圖相似於第28B圖,除了間隔物層238沒有在間隙238g處合併。在第29B、30B、31B和32B圖中也使用相似的水平截面。第28A和28B圖示出在心軸32A和32B上沉積間隔物層38之後的第5A和5B圖的晶圓100。在第36圖所示的製程流程中,對應的步驟被示為步驟315。因此,步驟305與第25圖的步驟205大抵相同,步驟310與步驟210大抵相同。因此,基板10、裝置12、介電層14、導電部件16、蝕刻停止層26、目標層28、硬遮罩30以及心軸32A和32B,相似於第1A、1B和1C圖以及第2A,2B和2C圖所示,因此在此不再重複。
可以使用與第5A和5B圖的間隔物層38相似的製程和材料來形成間隔物層238,除了其不如間隔物層38沉積較厚。因為間隔物層238不如間隔物層38沉積較厚,所以減少或消除不希望的合併和角狀效應的風險。根據一些實施例,在心軸32A上的間隔物層238的厚度T3A 在大約30Å和大約200Å之間的範圍內,例如在大約70Å和140Å之間。心軸32B上的間隔物層238的厚度T3B 與厚度T3A 相同。
在第29A和29B圖中,可以在晶圓100上形成光遮罩142,並對其進行圖案化以露出第一區域100A,同時保留第二區域100B。在第36圖所示的製程流程中,對應的步驟被示為步驟320。可以使用與上述關於第6A和6B圖所討論的製程和材料相似的製程和材料來製造光遮罩142,在此不再重複。
在第30A和30B圖中,執行修整製程以減小第一區域100A中的間隔物層38的厚度T3A 。在第36圖所示的製程流程中,對應的步驟被示為步驟325。可以使用乾式蝕刻或濕式蝕刻製程來執行修整製程,例如上述關於第7A和7B圖討論的製程。修整製程可以從間隔物層38的厚度T3A 去除約20Å至約70Å之間,例如約25Å至約35Å之間。所得厚度T4A 可以在約25Å至約130Å之間,例如 在大約40Å到100Å之間。
在第31A和31B圖中,可以通過例如上述關於第8A和8B圖所討論的任何合適的技術來去除光遮罩142。在第36圖所示的製程流程中,對應的步驟被示為步驟330。第二區域100B中的間隔物層238具有與厚度T3B 相同的厚度T4B 。然而,第一區域100A中的間隔物層238現在具有厚度T4A ,其厚度T4A 比先前的厚度T3A 更薄並且也比厚度T4B 更薄。在光遮罩142在間隔物層38上方的邊緣處,可以形成高度為T4B -T4A 的台階,對應於從第一區域100A的間隔物層238修整的數量。
在第32A和32B圖中,可以使用相似於第5A和5B圖的間隔物層38的製程和材料形成第二間隔物層239。第32C和32D圖相似於第32B圖,除了間隔物層238沒有合併的其他實施例(參照第28C圖)。在第36圖所示的製程流程中,對應的步驟被示為步驟333。第二間隔物層239的厚度可以在大約20Å與100Å之間,例如在大約50Å與80Å之間。因為在第一區域100A中修整間隔物層238,所以在第一區域100A與第二區域100B中結合的間隔物層242的總厚度是不同的。根據一些實施例,在心軸32A上的結合的間隔物層242的厚度T5A 在約50Å與約200Å之間的範圍內,例如在約100Å與約170Å之間的範圍內。心軸32B上的結合的間隔物層242的厚度T5B 在大約120Å到大約280Å之間,例如在大約150Å到大約200Å之間。
在一些實施例中,第二間隔物層239可以使用與形成第一間隔物層238相同的材料和製程來形成。在這樣的實施例中,即使第二間隔物層239可以由與第一間隔物層相同的材料形成,間隔物層238和第二間隔物層239之間可以觀察到的界面。在一些實施例中,間隔物層238和第二間隔物層239的材料可以形成為未有可見的界面。在其他實施例中,可以使用不同的材料及/或製程來形成第二間隔物層239,在這種情況下,在間隔物層238和第二間隔物層239之間保持有界面。
在蝕刻間隔物層之前,可以執行上述關於第9A和9B至圖12A和12B圖所述的形成犧牲材料154的製程。
在第32C圖中,根據一些實施例,當沉積第二間隔物層239時,第二間隔物層239不會跨過間隙239g合併。在其他實施例中,在第32D圖中,當沉積第二間隔物層239時,其確實跨過間隙239g合併。本領域技術人員應當理解,可以根據這樣的實施例修改其他圖式。
在第33A和33B圖中,其分別包括截面圖和俯視圖,進行非等向性蝕刻,以除去結合的間隔物層242的水平部份,而保留結合的間隔物層242的垂直部份。在第36圖所示的製程流程中,對應的步驟被示為步驟335 。以下,將結合的間隔物層242的其餘部份稱為間隔物40A和間隔物40B,其中,間隔物40A在第一區域100A中,並且間隔物40B在第二區域100B中。蝕刻結合的間隔物層242的製程可以使用與第13A和13B圖中蝕刻間隔物層38的相似的材料和製程,此處不再重複。
如第33A圖所示,間隔物40A在心軸32A的側壁上,並且間隔物40B在心軸32B的側壁上。參考第33B圖,間隔物40A和間隔物40B可以包括在x方向和y方向上的縱向部份,並且可以形成各種形狀。在一些實施例中,間隔物40A和間隔物40B可包括相對於x方向和y方向成非零角度的縱向部份,或者可包括曲線部份、圓化部份、圓形部份等。
第34A和34B圖繪示具有保留區域164的遮罩158/162的形成。遮罩158/162的形成與上述關於第14A和14B至16A和16B圖所討論相似,並且細節不再重複。在所示的實施例中,第34B圖示出保留區域164在第二區域100B中。遮罩158/162用於保護心軸層32未被去除的區域。
在第35A和35B圖中,其分別包括截面圖和俯視圖,在蝕刻步驟中通過遮罩158/162選擇地蝕刻心軸32A和32B,以去除心軸32A和32B,從而在間隔物40A之間形成開口43A,並且在間隔物40B之間形成開口43B。在第36圖所示的製程流程中,對應的步驟被示為步驟340。可以使用與上述關於第16A和16B圖所討論的相似的製程和材料來去除心軸32A和32B,在此不再重複。間隔物40A的寬度與厚度T5A 大致相同。間隔物40B的寬度與厚度T5B 大致相同。
如參考在第36圖中的製程流程的步驟345,使用間隔物40A和40B蝕刻硬遮罩30的製程可以與蝕刻硬遮罩30的製程相同,如上述關於第17A和17B至22A和22B圖所描述,此處不再重複。
如參考在第36圖中的製程流程的步驟350,使用硬遮罩30來蝕刻目標層28的製程可以與蝕刻目標層28的製程相同,如上述關於第23A和23B圖所描述,此處不再重複。
如參考在第36圖中的製程流程的步驟355,形成金屬線50和導孔52的製程可以與形成金屬線50和52的製程相同,如上述關於第24A和24B圖所描述,此處不再重複。如此,相似於上述關於第24B圖所述,形成的金屬線,例如金屬線50,包括兩個間距S1和S2(參照第24A圖),間距S2大於間距S1。間距S2由間隔物40B的厚度T5B (第35A圖)決定。有利地,如上所述,可以通過調整第一區域100A中的間隔物層238的修整量來調整間距S1,然後在間隔物層238上沉積第二間隔物層。因此,本揭露的實施例具有調整金屬線之間的間距,以具有不同數值的靈活性。
在上述針對第1A、1B和1C至27圖以及第28A和28B至36圖討論的製程中,在每種情況下,對間隔物層38進行修整以在晶圓100的不同區域中達成間隔物層38的不同厚度。其餘圖式描述在晶圓100的選定區域中的間隔物層上使用墊層的其他實施例。此外,描述可選的切線製程,其可以應用於所有在此描述的實施例的流程。切線製程可以使用其他墊層材料來更改間隔物圖案,從而導致金屬切線。此外,所描述的第三區域100C可選的圖案負載(pattern loading),其可以應用於在本揭露描述的實施例的所有流程(相似地,可以省略第三區域100C可選的圖案負載,如關於以上圖式所描述)。
第37A和37B至48圖,係根據本揭露的一些實施例,繪示在形成部件,例如金屬線的中間階段的截面圖。除非另有說明,否則這些實施例中的部件的材料和形成方法與相似的部件大抵相同,在第1A、1B和1C至27圖所示的實施例中,其用相同的參考數字表示。因此,可以在第1A、1B和1C至27圖所示實施例的討論中,找到關於第37A和37B至48圖所示的相似部件的形成製程和材料的細節。
第37A圖是沿著第37B圖所示的線A-A的垂直截面,並且第37B圖是第37A圖中的晶圓100的俯視圖。第37A和37B圖示出相似於第1A、1B和1C圖所示的結構。因此,基板10、裝置12、介電層14、導電部件16、蝕刻停止層26、目標層28和硬遮罩30與第1A,1B和1C圖所示的部件相似,並且此處不再重複。
第37A和37B圖也示出一種或多種微影製程。可以執行第一微影製程,然後將心軸層32圖案化以在其中的第一方向上形成開口,例如上述關於第1A、1B、1C、2A、2B和2C圖所示。在第48圖所示的製程流程400中,對應的步驟被示為步驟405和410。在第一微影製程之後,可以執行第二微影製程以在其中沿第二方向形成開口。可以使用相似於第3A和3B圖的光遮罩34的製程和材料來形成和圖案化光遮罩34。例如,在微影製程中,施加光遮罩34並圖案化為第一區域100A中的光遮罩34A、第二區域100B中的光遮罩34B以及第三區域100C中的光遮罩34C。在第48圖所示的製程流程400中,對應的步驟被示為步驟405。在光遮罩34中形成第一區域100A中的開口35A和第二區域100B中的開口35B。根據本揭露的一些實施例,開口35具有半導體條的頂視圖形狀,其彼此平行。在第三區域100C中的光遮罩34C,其中不形成金屬條,可以用於控製圖案負載效果。光遮罩34C也可以用於以上關於第28A和28B至圖36討論的實施例中。
可以以兩個不同的寬度和兩個不同的節距形成光遮罩34A和光遮罩34B的圖案化部件,例如半導體條,其尺寸和節距相似於上述關於第1A和1B圖所討論的尺寸和節距。
在第38A和38B圖中,進行蝕刻製程以將光遮罩34A和光遮罩34B的圖案轉移到心軸層32,通過將開口35A和開口35B延伸到心軸層32中,以分別形成心軸32A和心軸32B。心軸32B在第二區域100B中並且對應於微小間距,心軸32A在第一區域100A中並且對應於更微小的間距。此外,在第三區域100C中形成硬遮罩32C。在第48圖所示的製程流程400中,對應的步驟被示為步驟410 。之後去除剩餘的光遮罩34,例如在灰化步驟中去除剩餘的光遮罩34。所得的結構在第38A和38B圖中示出。可以使用與上述關於第4A和4B圖所討論的相似的製程和材料來執行蝕刻,在此不再重複。在一些實施例中,相似於上述關於第4A和4B圖所討論,可以在蝕刻心軸層32之前使用修整製程,並且可以在蝕刻心軸層32之後使用烘烤製程。
第39A圖是沿著第39B圖所示的線A-A的垂直截面,並且第39B圖是沿著第39A圖所示的線B-B的水平截面(而不是俯視圖)。在第40B、41B、42B、43B和44B圖中也使用了相似的水平截面。第39A和39B圖示出在心軸32A和32B以及硬遮罩32C之上沉積間隔物層38之後的第38A和38B圖的晶圓100。在第48圖所示的製程流程400中,對應的步驟被示為步驟415。
可以使用與第5A和5B圖的間隔物層38相似的製程和材料來形成間隔物層38,除了其不如間隔物層38沉積較厚。因為間隔物層38不如間隔物層38沉積較厚,所以減少或消除不希望的合併和角狀效應的風險。根據一些實施例,在心軸32A上的間隔物層38的厚度T6A 在約50Å至約250Å之間的範圍內,例如約100Å至175Å之間的範圍內。心軸32B上的間隔物層38的厚度T6B 和硬遮罩32C上的厚度T6C 的厚度與厚度T6A 相同。
在第40A和40B圖中,光遮罩142可在晶圓100中形成並圖案化以露出所述第二區域100B,同時保留在第一區域100A上。在第48圖所示的製程流程400中,對應的步驟被示為步驟420。可以使用與上上關於第6A和6B圖所討論的相似的製程和材料來製造光遮罩142,在此不再重複。
在第41A和41B圖中,墊層144沉積在光遮罩142上和間隔物層38上。在第48圖所示的製程流程400中,對應的步驟被示為步驟425。墊層也可以被稱為間隔物層。在一些實施例中,可以在沉積墊層144之前去除中間層140,使得墊層144直接形成在底層138的最上表面上。墊層144可以包括無機材料。例如,墊層144可以是無機氧化物,例如氧化鈦、氧化鉭、氧化矽等。在一些實施例中,無機材料是低溫氧化物(LTO)。在一些實施例中,墊層144可以包括氮化物,例如氮化矽或氮氧化矽等。可以選擇墊層144以相對於相同的蝕刻製程對間隔物層38具有足夠的蝕刻選擇性。例如,在一些實施例中,相對於相同蝕刻製程,墊層144的蝕刻速率與間隔物層38的蝕刻速率之比例為至少0.7。
可以使用半導體膜沉積製程,例如CVD、PVD、ALD等來形成墊層144。半導體膜沉積製程可以是順應性製程,其形成在開口35B的側壁和底表面上。墊層144可以形成為厚度T7B 在大約10Å與大約100Å之間,可以考慮並且可以使用其他數值。
在第42A和42B圖中,使用灰化製程或濕式蝕刻製程去除底層138。在48圖所示的製程流程400中,對應的步驟被示為步驟430。當底層138被去除時,底層138上的墊層144也被去除。墊層144保留在第二區域100B中的間隔物層38上。在一些實施例中,僅墊層144的一部份保留在開口35B的側壁(和在一些實施例中,在底表面上)上。墊層144的其餘部份用於在第二區域100B中襯墊間隔物層38的寬度。
在第42A和42B圖中也示出殘留在第三區域100C上方的殘留物146。殘留物146可能是由於去除光遮罩142及/或墊層144所導致。單獨的清潔製程可能會損壞墊層144,因此可以遮蓋第一區域100A和第二區域100B以清潔殘留物146。在一些實施例中,不需要執行單獨的清潔過程,並且可以在連續步驟的過程中清潔殘留物146。詳細而言,如果形成犧牲材料154,例如關於第9A和9B至12A和12B圖所描述,不需要單獨的光遮罩152以進行清潔,如關於第43A和43B圖所描述,因為可以使用第9A和9B至11A和11B圖的光遮罩152。
如果執行殘留物去除製程,則在第43A和43B圖中,在晶圓100上形成光遮罩152,並對其進行圖案化以露出第三區域100C和殘留物146。在第48圖所示的製程流程400中,對應的步驟被示為步驟435。可以使用與上述關於第6A和6B圖的光遮罩142所討論的相似的製程和材料來形成和圖案化光遮罩152,在此不再重複。
在第44A和44B圖中,可以使用回蝕製程來去除殘留物146。在第48圖所示的製程流程400中,相應的步驟被示為步驟440。在一些實施例中,回蝕刻製程可以利用乾式(例如,電漿)蝕刻製程、濕式蝕刻製程或其組合。電漿蝕刻製程可包括使用碳氟化合物(Cx Fy )氣體、氯氟碳化合物(Cx Cly Fz )氣體、氯化碳(Cx Cly )氣體等或其組合。濕式蝕刻製程可包括使用一種或多種標準清潔-1(standard clean-1, SC1)、標準清潔-2(standard clean-2, SC2)、硫酸-過氧化氫混合物(sulfuric acid-hydrogen peroxide mixture, SPM)、稀氫氟酸(diluted hydrofluoric, dHF)酸、過氧化氫(H2 O2 )、緩衝氧化物蝕刻(buffered oxide etch, BOE)、鹽酸(HCl)等或其組合。溶液的溫度可以在約20℃至約90℃之間的範圍內,並且基板在溶液中的浸入時間可以在約10秒至約120秒之間的範圍內。在一些實施例中,回蝕製程可以去除光遮罩152的中間層150(如第44A和44B圖所示)及/或底層148。在一些實施例中,可以使用灰化製程及/或一個或多個清潔製程來去除底層148。
在蝕刻間隔物層38和結合的間隔物層244之前,可以執行上述關於第9A和9B圖至第12A和12B圖所述的形成犧牲材料154的製程。
在第45A和45B圖中,其分別包括剖視圖以及俯視圖,進行非等向性蝕刻以去除第一區域100A中的間隔物層38的水平部份,以及第二區域100B中的結合的間隔物層38和墊層144(一同結合的間隔物層244)。在第48圖所示的製程流程400中,對應的步驟被示為步驟445。以下將第一區域100A中的間隔物層38的其餘部份稱為間隔物40A,將第二區域100B中結合的間隔物層244的其餘部份稱為間隔物40B。蝕刻結合的間隔物層242的製程可以使用與第13A和13B圖中蝕刻間隔物層38的相似的材料和製程,此處不再重複。
如第45A圖所示,間隔物40A在心軸32A的側壁上,並且間隔物40B在心軸32B的側壁上。在一些實施例中,硬遮罩32C也可以具有在其側壁上形成的間隔物40A和間隔物40B。參考第45B圖,間隔物40A和間隔物40B可以包括在x方向和y方向的縱向部份,並且可形成各種形狀。在一些實施例中,間隔物40A和間隔物40B可包括相對於x方向和y方向成非零角度的縱向部份,或者可包括曲線部份、圓化部份、圓形部份等。
第46A和46B圖示出具有保留區域164的遮罩158/162的形成。遮罩158/162的形成與上述關於第14A和14B至16A和16B圖所討論的相似,並且細節不再重複。在所示的實施例中,第46B圖示出保留區域164在第二區域100B中。遮罩158/162用於保護心軸層32未被去除的區域。
在第47A和47B圖中,其分別包括橫截面圖和俯視圖,在通過遮罩158/162的蝕刻步驟中選擇性地蝕刻心軸32A和32B,以去除心軸32A和32B,從而在間隔物40A之間形成開口43A並且在間隔物40B之間形成開口43B。在一些實施例中,也去除硬遮罩32C,從而在第三區域100C中的間隔物40A和間隔物40B之間形成開口43C (以括號表示)。在第48圖所示的製程流程400中,對應的步驟被示為步驟450。可以使用與上述關於第16A和16B圖所討論的相似的製程和材料來去除心軸32A和32B以及硬遮罩32C,在此不再重複。間隔物40A具有與厚度T6A 大抵相同的寬度T8A 。間隔物40B的寬度T8B 與厚度T6B +T7B 大抵相同(減去相對於第45A和45B圖所述的間隔物蝕刻製程的損失,其在大約0Å至20Å之間)。
第48圖的剩餘製程相似於第25圖描述的相似製程,並且可以使用相似的製程和材料來執行,因此不再重複。例如,第48圖所示的製程流程400中的步驟455與第25圖所示的製程流程中的步驟245相似;第48圖所示的製程流程400中的步驟460與第25圖所示的製程流程中的步驟250相似;在第48圖所示的製程流程400中的步驟465與第25圖所示的製程流程中的步驟255相似。如此,相似於上述關於第24A和24圖所描述的,形成的金屬線,例如金屬線50,包括兩個間距S1和S2,間距S2大於間距S1。
第49A和49B至55圖係根據本揭露的一些實施例,繪示形成部件,例如金屬線的中間階段的截面圖。除非另有說明,否則這些實施例中的部件的材料和形成方法與相似的部件大抵相同,在第1A、1B和1C至27圖所示的實施例中,其用相同的參考數字表示。因此,可以在對第1A、1B和1C至27圖所示的實施例的討論中,找到關於第49A和49B至55圖所示的相似部件的形成製程和材料的細節。
第49A圖是沿著第49B圖所示的線A-A的垂直截面圖,並且第49B圖是沿著第49A圖所示的線B-B的水平截面圖。在第50B和51B圖中也使用相似的水平截面。第49A和49B圖示出在心軸32A和32B上方以及硬遮罩32C上方沉積間隔物層38之後,以及在間隔物層38上方沉積墊層144之後的第39A和39B圖的晶圓100。在第55圖所示的製程流程中,對應的步驟被示為步驟620。因此,步驟605與第48圖的步驟405大抵相同、步驟610與步驟410大抵相同,並且步驟615與步驟415大抵相同。因此,基板10、裝置12、介電層14、導電部件16、蝕刻停止層26、目標層28、硬遮罩30、心軸32A和32B以及硬遮罩32C,與第37A和37B以及38A和38圖所示的相似,因此在此不再重複。根據一些實施例,Å在心軸32A和32B以及硬遮罩32C上的間隔物層38的厚度T9A 在大約50Å至大約200Å之間的範圍內,例如在大約100Å至大約160Å之間的範圍內,可以考慮並且使用其他數值。
墊層144沉積在間隔物層38上。在第55圖中所示的製程流程600中,對應的步驟被示為步驟620。墊層也可以被稱為間隔物層。可以使用相似於上述關於第41A和41B圖所討論的相似的製程和材料來形成墊層144,在此不再重複。墊層144可以形成為厚度T10A 在大約10Å和大約100Å之間,可以考慮並且使用其他數值。
在第50A和50B圖中,可以在晶圓100上形成光遮罩142,並對其進行圖案化以露出第一區域100A,同時保留在第二區域100B上。在第55圖所示的製程流程600中,對應的步驟被示為步驟625。可以使用與上述關於第6A和6B圖所討論的相似的製程和材料來製造光遮罩142,在此不再重複。
在第51A和51B圖中,可以通過任何合適的技術,例如濕式蝕刻製程或乾式蝕刻(例如,電漿)製程或其組合來去除從光遮罩142露出的墊層144的部份。在第55圖所示的製程流程600中,對應的步驟被示為步驟630。電漿蝕刻製程可包括使用碳氟化合物(Cx Fy )氣體、氯氟碳化合物(Cx Cly Fz )氣體、氯化碳(Cx Cly )氣體等或其組合。濕式蝕刻製程可包括使用一種或多種標準清潔-1(SC1)、標準清潔-2(SC2)、硫酸-過氧化氫混合物(SPM)、稀氫氟酸(dHF)酸、過氧化氫(H2 O2 )、緩衝氧化物蝕刻(BOE)、鹽酸(HCl)等或其組合。溶液的溫度可以在約20℃至約90℃之間的範圍內,並且基板在溶液中的浸入時間可以在約10秒至約120秒之間的範圍內。
在第52A和52B圖中,使用灰化製程或濕式蝕刻製程去除光遮罩142,並且蝕刻間隔物層和墊層以形成間隔物。在第55圖所示的製程流程600中,對應的步驟被示為步驟635和640。在去除光遮罩142之後,墊層144保留在第二區域100B中的間隔物層38上。墊層144用於在第二區域100B中襯墊間隔物層38的寬度。
在蝕刻間隔物層38和結合的間隔物層244之前,可以執行上述關於第9A和9B至12A和12B圖描述的形成犧牲材料154的製程。
同樣在第52A和52B圖中,執行非等向性蝕刻以去除第一區域100A中的間隔物層38的水平部份,以及結合的間隔物層38和墊層144(一同結合的間隔物層244),而間隔物層38和結合的間隔物層244的垂直部份保留。在第55圖所示的製程流程600中,對應的步驟被示為步驟640。在下文中,將第一區域100A中的間隔物層38的其餘部份稱為間隔物40A,將第二區域100B中的結合的間隔物層244的其餘部份稱為間隔物40B。蝕刻結合的間隔物層242的製程可以使用與第16A和16B圖中蝕刻間隔物層38相似的材料和製程,在此不再重複。
如第52A圖所示,間隔物40A在心軸32A的側壁上,並且間隔物40B在心軸32B的側壁上。在一些實施例中,硬遮罩32C也可具有在其側壁上形成的間隔物40A和間隔物40B。參考第52B圖,間隔物40A和間隔物40B可以包括在x方向和y方向的縱向部份,並且可形成各種形狀。在一些實施例中,間隔物40A和間隔物40B可包括相對於x方向和y方向成非零角度的縱向部份,或者可包括曲線部份、圓化部份、圓形部份等。由於去除了第一區域100A中的墊層144,硬遮罩32C還可以具有階梯狀的上表面。間隔物40B的高度也可以比間隔物40A的高度高約0Å至約50Å。
第53A和53B圖示出具有保留區域164的遮罩158/162的形成。遮罩158/162的形成相似於上述關於第14A和14B至16A和16B圖所討論,並且細節不再重複。在所示的實施例中,第53B圖示出保留區域164在第二區域100B中。遮罩158/162用於保護心軸層32未被去除的區域。
在分別包括截面圖和俯視圖的第54A和54B圖中,在蝕刻步驟中選擇性地蝕刻心軸32A和32B以去除心軸32A和32B,從而在間隔物40A之間形成開口43A,並且在間隔物40B之間形成開口43B。在一些實施例中,也去除了硬遮罩32C,從而在第三區域100C中的間隔物40A和間隔物40B之間形成開口43C (以括號表示)。 在第55圖所示的製程流程600中,對應的步驟被示為步驟645。可以使用相似於上述關於第8A和8B圖所討論的相似的製程和材料來去除心軸32A和32B以及硬遮罩32C,在此不再重複。間隔物40A具有與厚度T9A 大抵相同的寬度T11A 。間隔物40B的寬度T11B 與厚度T9A +T10A 大抵相同(減去相對於第52A和52B圖所述的間隔物蝕刻製程的損失,其在大約0Å至20Å之間)。
第55圖的其餘製程相似於第25圖描述的相似製程,並且可以使用相似的製程和材料來執行,因此不再重複。例如,第55圖所示的製程流程600中的步驟650與第25圖所示的製程流程中的步驟24相似;第55圖所示的製程流程600中的步驟655與第25圖所示的製程流程中的步驟250相似;第55圖所示的製程流程600中的步驟660與第25圖所示的製程流程中的步驟255相似。如此,相似於上述關於第24A和24B圖所描述,形成的金屬線,例如金屬線50,包括兩個間距S1和S2,間距S2大於間距S1。
本揭露的實施例有利地提供了在同一晶圓上具有多個間距和大小的心軸和間隔物的能力。在不同尺寸的心軸上沉積初始間隔物層後,可以操縱和改變間隔物層,使得間隔物層的一部份在心軸上較厚,而心軸更寬及/或節距更大,而間隔物層的一部份在心軸上更薄,而心軸更窄及/或節距更近。通過利用具有多個寬度的間隔物層,隨後形成的金屬線可以在線之間具有間隔,其間隔基於間隔物的寬度而定制。例如,這允許晶圓在互連的同一層內具有多種類型的線路信號傳輸和間隔。調整間隔物的尺寸還允許設計成在相鄰金屬線之間具有不同的電容效應。例如,在裝置設計的一些區域使金屬線之間的電容洩漏不如裝置設計的其他區域受關注的實施例中,在這些區域中,金屬線之間的間隔間距可以更小,而在其他區域中則可以更大。因此,可以調整形成的部件之間的間距,並且改善形成部件的靈活性。
本揭露根據一些實施例,提供一種形成半導體裝置的方法,包括:圖案化位於目標層上的第一心軸層,以形成第一心軸以及第二心軸,第一心軸比第二心軸具有更大的寬度;在第一心軸以及第二心軸上沉積間隔物層;在間隔物層以及第一心軸上形成遮罩層;薄化位於第二心軸上的間隔物層;去除遮罩層;蝕刻間隔物層以形成間隔物蝕刻遮罩,間隔物蝕刻遮罩包括與第一心軸的側壁接觸的第一間隔物以及與第二心軸的側壁接觸的第二間隔物,第一間隔物比第二間隔物具有更大的寬度;以及以間隔物蝕刻遮罩為基準,蝕刻目標層。
在一些實施例中,更包括:在去除遮罩層之後,在第一間隔物層上沉積第二間隔物層。
在一些實施例中,更包括:在目標層的第一區域中形成第一金屬線,第一區域對應於第一心軸;以及在目標層的第二區域中形成第二金屬線,第二區域對應於第二心軸,第二金屬線彼此之間的距離比第一金屬線彼此之間的距離更大。
在一些實施例中,更包括:在間隔物層以及第一心軸上形成第二遮罩層;圖案化第二遮罩層以露出切線區域;在切線區域中沉積犧牲材料;以及去除第二遮罩層,犧牲材料成為間隔物蝕刻遮罩的一部份。
在一些實施例中,更包括:利用間隔物蝕刻遮罩以蝕刻在間隔物蝕刻遮罩下的硬遮罩;以及利用硬遮罩以蝕刻目標層。
在一些實施例中,第一間隔物比第二間隔物寬20Å至50Å。
本揭露根據一些實施例,提供一種形成半導體裝置的方法,包括:圖案化位於目標層上的第一心軸層,以形成第一心軸以及第二心軸,第一心軸比第二心軸具有更大的寬度;在第一心軸以及第二心軸上沉積間隔物層;在間隔物層以及第二心軸上形成遮罩層,位於第一心軸上的間隔物層的部份從遮罩層露出;在遮罩層以及在露出的間隔物層上沉積墊層;去除遮罩層;蝕刻位於第一心軸上的間隔物層以及墊層,以形成包括第一間隔物的第一間隔物蝕刻遮罩,以及蝕刻位於第二心軸上的間隔物層,以形成包括第二間隔物的第二間隔物蝕刻遮罩,第一間隔物各自比第二間隔物寬;以及以第一間隔物蝕刻遮罩以及第二間隔物蝕刻遮罩為基準,蝕刻目標層。
在一些實施例中,更包括:在去除遮罩層之後,形成第二遮罩層;圖案化第二遮罩層以在第二遮罩層中提供開口,開口露出墊層的殘留物;以及去除墊層的殘留物。
在一些實施例中,更包括:在去除遮罩層之後,形成第二遮罩層;圖案化第二遮罩層以在第二遮罩層中提供開口,開口各自露出第一心軸的次組合或第二心軸的次組合上的區域;在開口中沉積犧牲材料;以及去除第二遮罩層,從而在第一心軸或第二心軸上留下犧牲材料的柱體,其中第一間隔物遮罩或第二間隔物遮罩包括犧牲材料的柱體。
在一些實施例中,墊層以及犧牲材料包括相同的材料。
在一些實施例中,目標層包括半導體材料。
在一些實施例中,圖案化第一心軸層包括圖案化第一心軸層,以在第一心軸與第二心軸之間形成硬遮罩。
在一些實施例中,更包括:從第一心軸上去除墊層的水平部份,保留設置在間隔物層的側壁上的墊層的垂直部份。
本揭露根據一些實施例,提供一種形成半導體裝置的方法,包括:在晶圓的第一區域中形成心軸的第一組合;在晶圓的第二區域中形成心軸的第二組合,心軸的第一組合的節距比心軸的第二組合寬;在心軸的第一組合以及心軸的第二組合上沉積第一間隔物層;在心軸的第一組合以及心軸的第二組合上沉積第二間隔物層;在第一區域上形成遮罩;在第二區域中心軸的第二組合上去除第二間隔物層;去除遮罩;在第二區域中蝕刻第一間隔物層,以及在第一區域中蝕刻第一間隔物層與第二間隔物層,以形成間隔物遮罩,間隔物遮罩包括在第一區域中的第一間隔物以及在第二區域中的第二間隔物,第一間隔物比第二間隔物寬;去除心軸的第一組合以及心軸的第二組合;以及蝕刻在間隔物遮罩下的目標層。
在一些實施例中,更包括:蝕刻在間隔物遮罩正下方的硬遮罩層,並且利用硬遮罩層以蝕刻目標層。
在一些實施例中,更包括:在目標層中的開口中沉積襯層以及導電填充物,從而在第一區域中形成第一金屬線,並且在第二區域中形成第二金屬線,其中第一區域中相鄰金屬線之間的間隔大於第二區域中相鄰金屬線之間的間隔。
在一些實施例中,第二間隔物層包括與第一間隔物層不同的材料。
在一些實施例中,更包括:在晶圓的第三區域中形成硬遮罩結構,硬遮罩結構介於心軸的第一組合的心軸與心軸的第二組合的心軸之間,其中第一間隔物的間隔物接觸硬遮罩的一側,並且第二間隔物的間隔物接觸硬遮罩的相反側。
在一些實施例中,第一間隔物比第二間隔物高。
在一些實施例中,第一間隔物包括底部、第一側部份以及第二側部份,並且第一側部份包括第一間隔物層的部份,以及第二側部份包括第二間隔物層的部份。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:基板 12:主動裝置 14:介電層 16:導電部件 26:蝕刻停止層 28:介電層/目標層 30:硬遮罩 32:硬遮罩/心軸層 33:光遮罩 34:光遮罩 35:開口 38:間隔物層 48:開口 50:金屬線 52:導孔 100:晶圓 124:心軸 126:間隔物層 138:下層 140:中間層 142:光遮罩 144:墊層 146:殘留物 148:下層 150:上層/中間層 152:光遮罩 153:開口 154:犧牲材料 158:下層/底層 158:遮罩 160:上層/中間層 162:光遮罩/光阻 162:遮罩 163:開口 164:保留區域 200:製程流程 205:步驟 210:步驟 215:步驟 220:步驟 225:步驟 230:步驟 235:步驟 238:間隔物層 239:間隔物層 240:步驟 242:間隔物層 244:間隔物層 245:步驟 250:步驟 255:步驟 260:步驟 262:步驟 264:步驟 266:步驟 268:步驟 270:步驟 272:步驟 300:製程流程 305:步驟 310:步驟 315:步驟 320:步驟 325:步驟 330:步驟 333:步驟 335:步驟 340:步驟 345:步驟 350:步驟 351:開口 355:步驟 400:製程流程 405:步驟 410:步驟 415:步驟 420:步驟 425:步驟 430:步驟 435:步驟 440:步驟 445:步驟 450:步驟 455:步驟 460:步驟 465:步驟 600:製程流程 605:步驟 610:步驟 615:步驟 620:步驟 625:步驟 630:步驟 635:步驟 640:步驟 645:步驟 650:步驟 655:步驟 660:步驟 100A:第一區域 100B:第二區域 100C:第三區域 239g:間隙 30A:遮罩/底層 30B:遮罩/底層 30C:遮罩 32A:心軸 32B:心軸 32C:心軸 34A:光遮罩 34B:光遮罩 34C:光遮罩 351A :開口 351B :開口 352A :開口 352B :開口 35A:開口 35B:開口 38g:間隙 40A:間隔物/遮罩 40B:間隔物 41A:間隔物 41A’:間隔物 41B:間隔物 41C:間隔物 43A:開口 43B:開口 43C:開口 44A:開口 44B:開口 44C:開口 46A:溝槽 46B:溝槽 A-A:線/截面 B-B:線/截面 C-C:線/截面 D1A :尺寸 D1B :尺寸 D2A :尺寸 D2B :尺寸 P1A :節距 P1B :節距 S1:間隔 S2:間隔 T10A :厚度 T10B :厚度 T11A :厚度 T11B :厚度 T1A :厚度 T1B :厚度 T2A :厚度 T2B :厚度 T3A :厚度 T3B :厚度 T4A :厚度 T4B :厚度 T5A :厚度 T5B :厚度 T6A :厚度 T6B :厚度 T6C :厚度 T7A :厚度 T7B :厚度 T8A :厚度 T8B :厚度 T9A :厚度 T9B :厚度 W1A :寬度 W1B :寬度
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小單元的尺寸,以清楚地表現出本揭露的特徵。 第1A-1C圖至第3A-3C圖以及第4A與4B圖至第24A與24B圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第25至27圖係根據一些實施例,繪示形成金屬線的各種製程流程。 第28A、28B及28C圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第29A及29B圖至第31A及31B圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第32A至32D圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第33A及33B圖至第35A及35B圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第36圖係根據一些實施例,繪示形成金屬線的製程流程。 第37A及37B圖至第47A及47B圖係根據一些實施例,為形成金屬線的中間階段的截面圖及俯視圖。 第48圖係根據一些實施例,繪示形成金屬線的製程流程。 第49A及49B圖至第54A及54B圖係根據一些實施例,為形成犧牲材料的柱體的中間階段的截面圖及俯視圖。 第55圖係根據一些實施例,繪示形成金屬線的製程流程。
200:製程流程
205:步驟
210:步驟
215:步驟
220:步驟
225:步驟
230:步驟
235:步驟
240:步驟
245:步驟
250:步驟
255:步驟

Claims (15)

  1. 一種形成半導體裝置的方法,包括:圖案化位於一目標層上的一第一心軸層,以形成多個第一心軸以及多個第二心軸,該些第一心軸比該些第二心軸具有更大的寬度;在該些第一心軸以及該些第二心軸上沉積一間隔物層;在該間隔物層以及該些第一心軸上形成一遮罩層;薄化位於該些第二心軸上的該間隔物層;去除該遮罩層;蝕刻該間隔物層以形成一間隔物蝕刻遮罩,該間隔物蝕刻遮罩包括與該些第一心軸的多個側壁接觸的多個第一間隔物以及與該些第二心軸的多個側壁接觸的多個第二間隔物,該些第一間隔物比該些第二間隔物具有更大的寬度;以及以該間隔物蝕刻遮罩為基準,蝕刻該目標層。
  2. 如請求項1所述之形成半導體裝置的方法,更包括:在去除該遮罩層之後,在該第一間隔物層上沉積一第二間隔物層。
  3. 如請求項1所述之形成半導體裝置的方法,更包括:在該目標層的一第一區域中形成多個第一金屬線,該第一區域對應於該些第一心軸;以及在該目標層的一第二區域中形成多個第二金屬線,該第二區域對應於該些第二心軸,該些第二金屬線彼此之間的距離比該些第一金屬線彼此之間的距離更大。
  4. 如請求項1所述之形成半導體裝置的方法,更包括:在該間隔物層以及該些第一心軸上形成一第二遮罩層;圖案化該第二遮罩層以露出多個切線區域; 在該些切線區域中沉積一犧牲材料;以及去除該第二遮罩層,該犧牲材料成為該間隔物蝕刻遮罩的一部份。
  5. 如請求項1所述之形成半導體裝置的方法,更包括:利用該間隔物蝕刻遮罩以蝕刻在該間隔物蝕刻遮罩下的一硬遮罩;以及利用該硬遮罩以蝕刻該目標層。
  6. 如請求項1至5中任一項所述之形成半導體裝置的方法,其中該些第一間隔物比該些第二間隔物寬20Å至50Å。
  7. 一種形成半導體裝置的方法,包括:圖案化位於一目標層上的一第一心軸層,以形成多個第一心軸以及多個第二心軸,該些第一心軸比該些第二心軸具有更大的寬度;在該些第一心軸以及該些第二心軸上沉積一間隔物層;在該間隔物層以及該些第二心軸上形成一遮罩層,位於該些第一心軸上的該間隔物層的一部份從該遮罩層露出;在該遮罩層以及在露出的該間隔物層上沉積一墊層;去除該遮罩層;蝕刻位於該些第一心軸上的該間隔物層以及該墊層,以形成包括多個第一間隔物的一第一間隔物蝕刻遮罩,以及蝕刻位於該些第二心軸上的該間隔物層,以形成包括多個第二間隔物的一第二間隔物蝕刻遮罩,該些第一間隔物各自比該些第二間隔物寬;以及以該第一間隔物蝕刻遮罩以及該第二間隔物蝕刻遮罩為基準,蝕刻該目標層。
  8. 如請求項7所述之形成半導體裝置的方法,更包括:在去除該遮罩層之後,形成一第二遮罩層; 圖案化該第二遮罩層以在該第二遮罩層中提供一開口,該開口露出該墊層的殘留物;以及去除該墊層的該殘留物。
  9. 如請求項7所述之形成半導體裝置的方法,更包括:在去除該遮罩層之後,形成一第二遮罩層;圖案化該第二遮罩層以在該第二遮罩層中提供一或多個開口,該一或多個開口各自露出該些第一心軸的一次組合或該些第二心軸的一次組合上的一區域;在該一或多個開口中沉積一犧牲材料;以及去除該第二遮罩層,從而在該些第一心軸或該些第二心軸上留下該犧牲材料的多個柱體,其中該第一間隔物遮罩或該第二間隔物遮罩包括該犧牲材料的多個柱體。
  10. 如請求項7至9中任一項所述之形成半導體裝置的方法,其中圖案化該第一心軸層包括圖案化該第一心軸層,以在該些第一心軸與該些第二心軸之間形成一硬遮罩。
  11. 如請求項7所述之形成半導體裝置的方法,更包括:從該些第一心軸上去除該墊層的多個水平部份,保留設置在該間隔物層的多個側壁上的該墊層的多個垂直部份。
  12. 一種形成半導體裝置的方法,包括:在一晶圓的一第一區域中形成多個心軸的一第一組合;在該晶圓的一第二區域中形成多個心軸的一第二組合,多個心軸的該第一組合的節距比多個心軸的該第二組合寬;在多個心軸的該第一組合以及多個心軸的該第二組合上沉積一第一間隔物層; 在多個心軸的該第一組合以及多個心軸的該第二組合上沉積一第二間隔物層;在該第一區域上形成一遮罩;在該第二區域中多個心軸的該第二組合上去除該第二間隔物層;去除該遮罩;在該第二區域中蝕刻該第一間隔物層,以及在該第一區域中蝕刻該第一間隔物層與該第二間隔物層,以形成一間隔物遮罩,該間隔物遮罩包括在該第一區域中的多個第一間隔物以及在該第二區域中的多個第二間隔物,該些第一間隔物比該些第二間隔物寬;去除多個心軸的該第一組合以及多個心軸的該第二組合;以及蝕刻在該間隔物遮罩下的一目標層。
  13. 如請求項12所述之形成半導體裝置的方法,更包括:在該目標層中的多個開口中沉積一襯層以及一導電填充物,從而在該第一區域中形成多個第一金屬線,並且在該第二區域中形成多個第二金屬線,其中該第一區域中相鄰金屬線之間的間隔大於該第二區域中相鄰金屬線之間的間隔。
  14. 如請求項12所述之形成半導體裝置的方法,更包括:在該晶圓的一第三區域中形成一硬遮罩結構,該硬遮罩結構介於多個心軸的該第一組合的一心軸與多個心軸的該第二組合的一心軸之間,其中該些第一間隔物的一間隔物接觸該硬遮罩的一側,並且該些第二間隔物的一間隔物接觸該硬遮罩的一相反側。
  15. 如請求項12至14中任一項所述之形成半導體裝置的方法,其中該些第一間隔物比該些第二間隔物高。
TW109137611A 2019-10-29 2020-10-29 半導體裝置的形成方法 TWI744059B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927336P 2019-10-29 2019-10-29
US62/927,336 2019-10-29
US17/018,705 2020-09-11
US17/018,705 US11676821B2 (en) 2019-10-29 2020-09-11 Self-aligned double patterning

Publications (2)

Publication Number Publication Date
TW202125600A TW202125600A (zh) 2021-07-01
TWI744059B true TWI744059B (zh) 2021-10-21

Family

ID=75379000

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109137611A TWI744059B (zh) 2019-10-29 2020-10-29 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US11784056B2 (zh)
DE (1) DE102020123934A1 (zh)
TW (1) TWI744059B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201140358A (en) * 2009-11-09 2011-11-16 Cadence Design Systems Inc Method, system, and program product for routing an integrated circuit to be manufactured by sidewall-image transfer
TW201715574A (zh) * 2015-09-03 2017-05-01 東京威力科創股份有限公司 用於多重圖案化架構之選擇性間隔件蝕刻用方法及系統
TW201926436A (zh) * 2017-11-21 2019-07-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法及其結構
TW201931440A (zh) * 2017-11-15 2019-08-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201935521A (zh) * 2017-12-21 2019-09-01 法商原子能與替代能源公署 在基材上形成化學引導結構的方法及化學磊晶方法
TW201937707A (zh) * 2017-11-23 2019-09-16 大陸商長江存儲科技有限責任公司 使用自對準雙圖案化以切割密集線圖案的方法及結構

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8673165B2 (en) 2011-10-06 2014-03-18 International Business Machines Corporation Sidewall image transfer process with multiple critical dimensions
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9525041B2 (en) 2015-02-12 2016-12-20 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions
US20160314983A1 (en) 2015-04-22 2016-10-27 Samsung Electronics Co., Ltd. Method of forming patterns of a semiconductor device
CN106486371B (zh) 2015-08-28 2021-06-01 联华电子股份有限公司 一种制作半导体元件的方法
US10340141B2 (en) 2017-04-28 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
KR102167959B1 (ko) 2017-11-14 2020-10-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조체 및 그 제조 방법
US11211388B2 (en) 2017-11-14 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Array boundfary structure to reduce dishing
US11676821B2 (en) * 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201140358A (en) * 2009-11-09 2011-11-16 Cadence Design Systems Inc Method, system, and program product for routing an integrated circuit to be manufactured by sidewall-image transfer
TW201715574A (zh) * 2015-09-03 2017-05-01 東京威力科創股份有限公司 用於多重圖案化架構之選擇性間隔件蝕刻用方法及系統
TW201931440A (zh) * 2017-11-15 2019-08-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201926436A (zh) * 2017-11-21 2019-07-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法及其結構
TW201937707A (zh) * 2017-11-23 2019-09-16 大陸商長江存儲科技有限責任公司 使用自對準雙圖案化以切割密集線圖案的方法及結構
TW201935521A (zh) * 2017-12-21 2019-09-01 法商原子能與替代能源公署 在基材上形成化學引導結構的方法及化學磊晶方法

Also Published As

Publication number Publication date
TW202125600A (zh) 2021-07-01
US11784056B2 (en) 2023-10-10
US20220384201A1 (en) 2022-12-01
US20230282488A1 (en) 2023-09-07
DE102020123934A1 (de) 2021-04-29

Similar Documents

Publication Publication Date Title
US10170306B2 (en) Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
KR102531315B1 (ko) 자체 정렬된 이중 패터닝
US9865500B2 (en) Method of fine line space resolution lithography for integrated circuit features using double patterning technology
JP5062969B2 (ja) 半導体素子のランディングプラグコンタクト形成方法
JP2008103718A (ja) 半導体素子の微細パターンの形成方法
US20080076071A1 (en) Method of forming a fine pattern
US9947535B2 (en) Trench formation using horn shaped spacer
JP4711658B2 (ja) 微細なパターンを有する半導体装置の製造方法
JP2007318068A (ja) 半導体素子のコンタクト形成方法
US7052952B2 (en) Method for forming wire line by damascene process using hard mask formed from contacts
JP2008311623A (ja) 半導体素子及びその製造方法
KR20110136473A (ko) 반도체 장치 및 반도체 장치의 제조 방법
US6723655B2 (en) Methods for fabricating a semiconductor device
US6784051B2 (en) Method for fabricating semiconductor device
US7384823B2 (en) Method for manufacturing a semiconductor device having a stabilized contact resistance
TWI744059B (zh) 半導體裝置的形成方法
JPH11135758A (ja) 平坦化を改善するための半導体装置製造方法
KR100721546B1 (ko) 캐패시터 및 그의 제조 방법
JP2002043438A (ja) トレンチ構造およびトレンチを含む半導体構造の形成方法
CN111354630A (zh) 半导体结构及其制造方法
KR20060010894A (ko) 반도체 소자의 콘택 플러그 형성 방법
KR20050010657A (ko) 반도체 메모리 소자의 제조방법