TW201935521A - 在基材上形成化學引導結構的方法及化學磊晶方法 - Google Patents

在基材上形成化學引導結構的方法及化學磊晶方法 Download PDF

Info

Publication number
TW201935521A
TW201935521A TW107146341A TW107146341A TW201935521A TW 201935521 A TW201935521 A TW 201935521A TW 107146341 A TW107146341 A TW 107146341A TW 107146341 A TW107146341 A TW 107146341A TW 201935521 A TW201935521 A TW 201935521A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
block
forming
spacer
Prior art date
Application number
TW107146341A
Other languages
English (en)
Other versions
TWI794377B (zh
Inventor
拉露卡 堤隆
古陸米 卡拉維
阿梅德 格哈比
羅倫 潘恩
埃薩埃細爾 雪弗萊
克里斯多夫 納瓦羅
安妮 帕基特
Original Assignee
法商原子能與替代能源公署
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商原子能與替代能源公署 filed Critical 法商原子能與替代能源公署
Publication of TW201935521A publication Critical patent/TW201935521A/zh
Application granted granted Critical
Publication of TWI794377B publication Critical patent/TWI794377B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Micromachines (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明係關於一種用以形成化學引導結構之方法,其中該結構意欲藉由化學磊晶用於嵌段共聚物之自組裝,該方法包含下列步驟:-在一基材(100)上形成一功能化層(220),其中該功能化層係由相關於該嵌段共聚物具有第一化學親和力之第一聚合物材料(140)製得;-在該基材上形成引導圖案(210),其中該引導圖案係由相關於該嵌段共聚物具有第二化學親和力之第二聚合物材料製得,其中該第二化學親和力係與該第一化學親和力不同;及其中該引導圖案(210)具有臨界尺寸少於12.5奈米及係藉由一包含間隔物(311)的遮罩形成。

Description

在基材上形成化學引導結構的方法及化學磊晶方法
本發明係關於一種用以形成化學引導結構之方法,其中該結構意欲藉由化學磊晶用於嵌段共聚物之自組裝。本發明亦關於一種自化學引導結構進行化學磊晶的方法。
嵌段共聚物的定向自組裝(Directed self-assembly(DSA))係一種能夠形成臨界尺寸小於30奈米的圖案之自發性微影技術(emergent lithography technique)。此技術構成一較不昂貴、能替代極紫外光微影(EUV)及電子束微影(「e束」)的技術。
已知的嵌段共聚物自組裝方法可劃分成二種種類:圖形結構磊晶(graphoepitaxy)及化學磊晶。
圖形結構磊晶在於在基材表面上形成一稱為引導的一級形貌圖案(topographic pattern),而這些圖案會標出一區域的界限並在其中沈積一嵌段共聚物層。該引導圖案能夠組織化該共聚物嵌段,使得該共聚物在這些區域中受控制而形成較高解析度的二級圖案。
化學磊晶在於修改基材的某些範圍表面之化學性質,以引導然後沈積在此表面上的嵌段共聚物組織化。特別是,該基材的化學改質可藉由接枝一聚合物中性層獲得。然後,結構化此中性層以在該基材表面上產生一化學對比。因此,該基材之未由該中性層覆蓋的此些範圍對該共聚物嵌段之一具有較佳化學親和力,同時該基材由該中性層覆蓋的範圍對該共聚物之全部嵌段具有相等的化學親和力。該中性層之圖形化習知上藉由一光學或電子束微影步驟獲得。
為了保證該嵌段共聚物之組裝具有最小的組織化缺陷,該基材之對該等嵌段之一具有較佳親和力的典型範圍為寬度W等於該嵌段共聚物域之寬度,後者係等於該共聚物的半自然週期L0(W=0.5*L0)或等於此自然週期的一倍半(W=1.5*L0)。此外,該基材之具有較佳親和力的典型範圍為距離Ls係兩兩分開等於週期L0的整數倍(Ls=n*L0,其中n係自然非零整數,其稱為間距增值因子)。
C-C.Liu等人發表的論文,名稱[「Integration of block coploymer directed assembly with 193 immersion lithography」,J.Vac.Sci.Technol.,B 28,C6B30-C6B34,2010]描述出一種化學磊晶方法,其包括在基材表面上形成一化學引導結構。該化學引導結構包含一對該共聚物嵌段之一具有較佳親和力的聚合物引導圖案及一在該圖案外,於稱為背景範圍的範圍中接枝到該基材上的無規共聚物膜。該無規共聚物相關於該嵌段共聚物呈中性, 如此該共聚物之域(在組裝後)係定向成與該基材垂直。該化學引導結構意欲定向嵌段共聚物PS-b-PMMA(聚苯乙烯-嵌段-聚甲基丙烯酸甲酯)之自組裝。該引導圖案係呈線形式,其包含交聯的聚苯乙烯(X-PS)。該在線間接枝之無規共聚物係PS-r-PMMA。
參照圖1,此化學磊晶方法包含首先在矽基材10上形成交聯的聚苯乙烯膜11。然後,藉由光學微影(典型為193奈米沈浸型式),在交聯的聚苯乙烯膜11上形成一包含樹脂圖案12之遮罩。然後,藉由一氧系電漿的步驟來減少樹脂圖案12之尺寸以獲得寬度W級數係該嵌段共聚物的半週期。在此步驟期間,該交聯的聚苯乙烯膜11亦透過遮罩12由該電漿蝕刻。此蝕刻步驟通常稱為「修整蝕刻(trim etch)」。因此,在基材10上形成呈平行線11’形式之交聯的聚苯乙烯圖案。在「修整蝕刻」步驟後,該聚苯乙烯線11’具有寬度W等於15奈米及兩兩分開距離Ls等於90奈米。在移除樹脂遮罩12後,以一包含該可接枝的無規共聚物之溶液覆蓋基材10,然後,該無規共聚物在線11’間接枝而形成一中性層13。最後,在該包含聚苯乙烯線11’及中性層13的引導結構上沈積PS-b-PMMA 14層,然後組裝。
此關於嵌段共聚物PS-b-PMMA之組裝的化學磊晶方法提供令人滿意的結果,特別是,具有低缺陷比例。但是,其與稱為「高-X」的新生代嵌段共聚物不相容,其中該高-X具有自然週期L0比PS-b-PMMA短,因為後者需要非常低的臨界尺寸典型少於12.5奈米之引 導圖案。光學微影(典型為193奈米沈浸型式)步驟與額外「修整蝕刻」步驟之組合無法在該基材規模下以可再現的方式產生此低臨界尺寸之引導圖案,特別是當它們具高密度時。更確切來說,在引導圖案的尺寸上觀察到高變化性及此影響該等嵌段共聚物之組裝而造成大缺陷數目。可能的解答將係極紫外光(EUV)微影,但是此技術缺乏工藝完善性及其非常高的成本將使得其應用困難。
因此,對提供一種在基材上形成化學引導結構的方法有需求,其中該方法係與新生代嵌段共聚物相容且允許令人滿意的引導圖案尺寸控制,不管其在基材上的分佈。
根據本發明,此需求趨向於藉由提供一種形成化學引導結構之方法來滿足,其中該結構意欲藉由化學磊晶用於嵌段共聚物之自組裝,此方法包含下列步驟:-在基材上形成一由第一聚合物材料製得的功能化層,其中該第一聚合物材料相關於該嵌段共聚物具有第一化學親和力;-在該基材上形成一由第二聚合物材料製得之引導圖案,其中該第二聚合物材料相關於該嵌段共聚物具有第二化學親和力,其係與該第一化學親和力不同;及其中該引導圖案具有臨界尺寸小於12.5奈米及由一包含間隔物之遮罩形成。
由於該間隔物,可達到與稱為「高-X」的新生代嵌段共聚物相容之臨界尺寸。間隔物之使用亦保證 該引導圖案有令人滿意的尺寸控制,不管其在該基材上的分佈。特別是,由該間隔物所形成的引導圖案具有低於先述技藝之線條邊緣粗糙度及寬度邊緣粗糙度,其能夠獲得較高品質的共聚物組裝,因此,最終改良電性能。
這些優點產生自下列事實:就再現性來說,間隔物其本身由於其形成方式而具有優良的性能。該等間隔物之形成有利地包含一在形貌圖案(即,凹陷或突出圖案)上保形沈積一犧牲材料層的步驟,及一在較佳垂直該基材的蝕刻方向上各向異性蝕刻該犧牲材料層之步驟。
較佳的是,該第一化學親和力對每個共聚物嵌段係相等,及該第二化學親和力係對該共聚物的嵌段之一為優先。
在本發明的第一具體實例中,該形成方法包含下列步驟:-在該基材上形成該功能化層;-在該功能化層上沈積一犧牲材料層;-在該犧牲材料層中及在該功能化層中形成一開口到該基材上的空腔,每個空腔皆包含底部及側壁;-對著該空腔的側壁形成該等間隔物;-將該第二聚合物材料接枝到該空腔在該等間隔物間之底部上;-移除該等間隔物及該犧牲材料層。
在本發明的第二具體實例中,該形成方法包含下列步驟: -在該基材上形成該第二聚合物材料層;-在該第二聚合物材料層上形成該等間隔物;-透過該等間隔物蝕刻該第二聚合物材料層以形成該引導圖案;-將該第一聚合物材料接枝在該引導圖案外之基材上以形成該功能化層;及-移除該等間隔物。
根據第二具體實例之發展,該方法亦包含一藉由曝露至紫外光輻射(UV),僅在該功能化層的一部分上修改該第一化學親和力之步驟。
較佳的是,在移除該間隔物步驟前,於該基材的整體表面上進行該曝露至UV輻射,該UV輻射具有波長包含在150奈米至350奈米間,及該等間隔物兩兩分開的距離小於或等於該半波長。
根據第二具體實例的另一個發展,該遮罩包含至少二個間隔物,其臨界尺寸實質上等於該嵌段共聚物的半自然週期。該等間隔物進一步兩兩及中心對中心分開一實質上等於該嵌段共聚物的自然週期之整數倍的距離。
有利的是,該引導圖案的臨界尺寸進一步實質上等於該嵌段共聚物之半自然週期。
本發明亦關於一種化學磊晶方法,其包含藉由上述形成方法在基材上形成一化學引導結構,在該化學引導結構上沈積一嵌段共聚物及組裝該嵌段共聚物。
有利的是,該嵌段共聚物係一種自然週期少 於25奈米的共聚物,較佳為選自於下列之一當中:- PS-b-PMMA:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯,其中該二種嵌段之至少一種係經化學修改;- PS-b-PDMS:聚苯乙烯-嵌段-聚二甲基矽氧烷;- PS-b-PLA:聚苯乙烯-嵌段-聚乳酸;- PS-b-PEO:聚苯乙烯-嵌段-聚氧化乙烯;- PS-b-PMMA-b-PEO:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯-嵌段-聚氧化乙烯;- PS-b-P2VP:聚苯乙烯-嵌段-聚(2乙烯吡啶);- PS-b-P4VP:聚苯乙烯-嵌段-聚(4乙烯吡啶);- PS-b-PFS:聚(苯乙烯)-嵌段-聚(二茂鐵基二甲基矽烷);- PS-b-PI-b-PFS:聚(苯乙烯)-嵌段-聚(異戊二烯)-嵌段-聚(二茂鐵基二甲基矽烷);- PS-b-P(DMS-r-VMS):聚苯乙烯-嵌段-聚(二甲基矽氧烷-r-乙烯基甲基矽氧烷);- PS-b-PMAPOSS:聚苯乙烯-嵌段-聚(丙烯酸甲酯)POSS;- PDMSB-b-PS:聚(1,1-二甲基矽環丁烷)-嵌段-聚苯乙烯;- PDMSB-b-PMMA:聚(1,1-二甲基矽環丁烷)-嵌段-聚(甲基丙烯酸甲酯);- PMMA-b-PMAPOSS:聚(甲基丙烯酸甲酯)-嵌段-聚(丙烯酸甲酯)POSS;- P2VP-b-PDMS:聚(2-乙烯吡啶)-嵌段-聚(二甲基矽 氧烷);- PTMSS-b-PLA:聚(三甲基矽基苯乙烯)-嵌段-聚(D,L-交酯);- PTMSS-b-PDLA:聚(三甲基矽基苯乙烯)-嵌段-聚(D-乳酸);- PTMSS-b-PMOST:聚(三甲基矽基苯乙烯)-嵌段-聚(4-甲氧基苯乙烯);- PLA-b-PDMS:聚(D,L-交酯)-嵌段-聚(二甲基矽氧烷);- PAcOSt-b-PSi2St:聚(4-乙醯氧基苯乙烯)-嵌段-聚(4-(雙(三甲基矽烷基)甲基)苯乙烯);- 1,2-PB-b-PDMS:1,2-聚丁二烯-嵌段-聚(二甲基矽氧烷);- PtBS-b-PMMA:聚(4-三級丁基苯乙烯)-嵌段-聚(甲基丙烯酸甲酯);- PCHE-b-PMMA:聚環己烷-嵌段-聚(甲基丙烯酸甲酯);- MH-b-PS:麥芽七糖-嵌段-聚苯乙烯。
10‧‧‧矽基材
11‧‧‧交聯的聚苯乙烯膜
11’‧‧‧平行線
12‧‧‧樹脂圖案
13,220‧‧‧中性層
14‧‧‧PS-b-PMMA層
100‧‧‧基材
110‧‧‧第一層
111‧‧‧空腔
112‧‧‧底部
113‧‧‧側壁
120‧‧‧第二層
130,311‧‧‧間隔物
140‧‧‧第一聚合物
150‧‧‧第二聚合物
200,200’‧‧‧化學引導結構
210‧‧‧引導圖案
220a‧‧‧第一部分
220b‧‧‧第二部分
300‧‧‧心軸
301‧‧‧第二聚合物層
302‧‧‧犧牲材料層
310‧‧‧遮罩
400‧‧‧區域
410‧‧‧紫外光輻射(UV)
500‧‧‧嵌段共聚物
W‧‧‧寬度,臨界尺寸
Ls‧‧‧間距,距離
S11-S16,S21-S27,S37‧‧‧步驟
H‧‧‧深度
W’‧‧‧寬度
P‧‧‧週期
D1‧‧‧距離
D2‧‧‧距離
本發明之其它特徵及優點將自下列提供的說明,藉由實施例及非限制地伴隨著參照附加的圖形變清楚,其中:圖1於先前描述中代表根據先述技藝之化學磊晶方法的步驟;圖2A至2F代表根據本發明的第一具體實例之用以 形成化學引導結構的方法之步驟;圖3代表沈積在圖2F的化學引導結構上之嵌段共聚物的組裝;圖4A至4G代表根據本發明的第二具體實例之用以形成化學引導結構的方法之步驟;圖5代表沈積在圖4G的化學引導結構上之嵌段共聚物的組裝;圖6代表圖4A-4G的方法之額外的選擇性步驟;及圖7代表在圖6之步驟後所獲得的化學引導結構上之嵌段共聚物的組裝。
為了更清楚,在全部圖形中相同的參考標記鑑別為相同或類似的元件。
下列相關於圖2A-2F、4A-4G及6所描述之方法能夠在基材100的一面上形成一化學引導結構。於此情況中,化學引導結構指為一組配置在基材100的分開範圍上且具有不同化學親和力之至少二種聚合物圖案,此組係在基材表面上週期性重覆。因此,在基材表面上產生一化學對比。例如,該基材100由矽製得。該基材100可對該二種聚合物圖案之一具有相同的化學親和力。
此化學引導(或對比)結構意欲藉由化學磊晶覆蓋一嵌段共聚物作為嵌段共聚物的定向自組裝方法之一部分。該化學對比能夠讓該形成共聚物的單體嵌段之組織被定向(或「引導」)。因此,該聚合物圖案之化學 親和力經了解與該共聚物的嵌段相關。這些親和力可選自於下列可能性當中:-對該共聚物的任何一種嵌段有較佳親和力;或-中性,即,對每個共聚物嵌段具有相等親和力。
在該化學引導結構之圖案間或在該基材與圖案間可有小的厚度差異,典型為少於10奈米。但是,此厚度差異形成形貌對比,其在該嵌段共聚物之組裝上不具有負面衝擊。
參照圖2F、4G及6,該引導結構200較佳包含數個引導圖案210及中性層220。該中性層220佔據該基材100之缺乏引導圖案210的表面之至少一個範圍,及在該方法的某些具體實例中,其佔據該基材100在引導圖案210外的整體表面(參照圖4G及6)。
該引導圖案210及中性層220的角色為化學地(及不同地)功能化該基材100。其亦可被認定為功能化圖案及功能化層。該引導圖案210係自對該共聚物的嵌段之一具有較佳親和力的聚合物形成,同時該中性層220係由具有中性親和力的聚合物組成。該引導圖案210較佳為具有臨界尺寸W實質上等於該嵌段共聚物之半自然週期L0(W=L0/2±10%)。
在下列說明中,用語聚合物在基材上的「接枝」經了解意謂著在該基材與聚合物鏈間形成共價連結。作為比較,聚合物之交聯意謂著在聚合物鏈間形成數個連結。
圖2A至2F係截面圖,其闡明根據本發明的 第一具體實例之用以形成化學引導結構的方法之步驟S11至S16。
由圖2A闡明,該方法的第一步驟S11在於在基材100之表面上形成中性層220。該中性層220較佳為具有實質上固定厚度,例如,在2奈米至15奈米間及佔據基材100的整體表面。該中性層220包含一具有中性親和力的第一聚合物140。此第一聚合物140較佳為一包含與設想用於該化學磊晶方法的嵌段共聚物相同單體之無規共聚物。例如,當該嵌段共聚物係片狀形態的PS-b-PMMA(其至少一個嵌段已經修改)時,該中性層220可由無規共聚物PS-r-PMMA(具有相同經修改的嵌段)形成,例如,包含50質量%聚苯乙烯(PS)及50質量%聚甲基丙烯酸甲酯(PMMA)。該中性層220亦可係自組裝單層(「自組裝單層」亦稱為SAM)。
該中性層220的第一聚合物140有利地係一可接枝材料。然後,步驟S11之形成中性層220包含在基材100上沈積一包括該第一可接枝聚合物的第一溶液,較佳為藉由離心進行該沈積(亦稱為「旋轉塗布」)。該第一溶液係藉由將第一聚合物140稀釋在有機溶劑,例如,醋酸丙二醇甲基醚酯(PGMEA)中來製備。接著該沈積第一溶液者係一在基材100上接枝該第一聚合物140的操作,此較佳為藉由退火進行。例如,該退火係於加熱板上或於爐中,在溫度等於250℃下進行等於10分鐘的時期。然後,使用溶劑(例如,PGMEA)沖洗的操作能夠消除未接枝的第一聚合物140。
任擇地,該第一聚合物140可係一可交聯材料。在藉由離心敷開該第一溶液後,藉由退火或藉由曝露至UV射線讓該第一聚合物140交聯。和接枝操作一樣,接著該交聯操作者有利地係使用溶劑沖洗。
圖2B的步驟S12包含在該中性層220上沈積由犧牲材料製得的第一層110及在該第一層110與中性層220中形成空腔111。為了清楚的目的,在圖2B中僅表示出這些空腔111的二個。
每個空腔111皆具有底部112及在與基材100的表面呈正割之方向上延伸的側壁113。較佳的是,該側壁113在與基材100的表面垂直之方向上延伸。除此之外,每個空腔111皆開口至基材100的表面上。換句話說,空腔111的底部112係由基材100組成,其表面有利地係平坦。
每個空腔111較佳為具有深度H,其包含在30奈米至150奈米間;及寬度W’,其包含在30奈米至60奈米間。該空腔的深度H係與基材100之表面垂直地測量,同時該空腔在圖2B之截面中的寬度W’係與基材100之表面平行地測量。
當第一層110包含數個空腔111時,這些空腔不需具有相同尺寸或相同幾何形狀。特別是,該空腔111可採用溝槽、圓柱井或矩形截面井形式。
作為一例,該空腔111係相同尺寸及定向成彼此平行的直線溝槽。其亦形成週期性結構,即,它們規則地間隔開。此結構的週期P較佳為在60奈米與140 奈米間。
該第一層110的犧牲材料較佳為選自於可容易地藉由溼式蝕刻及/或藉由乾式蝕刻,以相關於基材100呈選擇性方式移除的材料當中。作為一例,可引用二氧化矽(SiO2)、氫倍半矽氧烷(HSQ)及氮化矽(Si3N4)。任擇地,該第一層110的犧牲材料可由含矽抗反射塗層(SiARC)形成。
該空腔111可藉由光微影光刻或其它圖形化技術形成,諸如電子束微影(「e束」)。在光微影光刻,例如,使用波長193奈米的沈浸型式之情況中,該空腔111之形成特別可包含下列操作:-在該第一層110上沈積意欲形成硬遮罩的樹脂層或數層,例如,相繼包含一藉由離心沈積的含碳層(「旋壓碳」,SOC)、一含矽抗反射塗層(SiARC)及一樹脂層之三層堆疊;-在該樹脂層中產生孔洞,及若合適的話,將該孔洞轉印進在該硬遮罩下的層中(遮罩開口步驟);及-穿過該樹脂遮罩或硬遮罩來選擇性蝕刻該第一層110及中性層220,其中該基材100係對蝕刻不敏感或由對蝕刻不敏感的層保護。
有利的是,例如,藉由電漿對該第一層110及中性層220進行各向異性蝕刻。各向異性蝕刻技術對空腔111之尺寸提供較好的控制。
然後,該方法包括對著空腔111的側壁113形成間隔物,以將該空腔的寬度W’減低至超過光微影光 刻的解析度極限,最高至小於12.5奈米的值。這些間隔物可以二個相繼步驟S13及S14來製造,其各別由圖2C及2D表示。
參照圖2C,以保形(conformal)方式將由犧牲材料製得的第二層120沈積在由中性層220及第一層110覆蓋之基材100上。因此,該第二層120具固定厚度及接著在基材100的表面上形成浮雕。該第二層120的厚度較佳為在5奈米至25奈米間。使用來沈積該第二層120的保形沈積技術有例如原子層沈積(ALD),可能藉由電漿輔助(PEALD,「電漿輔助原子層沈積」)。
特別是,該第二層120的犧牲材料可選自於二氧化矽(SiO2)、氧氮化矽(SiOxNy)、氧化鋁(Al2O3)及二氧化鉿(HfO2)當中。因此,其不需與第一層110的犧牲材料相同。
參照圖2D,然後,較佳為藉由電漿(例如,碳氟化合物電漿)對該第二層120進行各向異性蝕刻。較佳的蝕刻方向為對基材100的表面呈垂直。此各向異性蝕刻之步驟能夠僅消除位於第一層110上的第二層120及在空腔111的底部上之水平部分。對著空腔111的側壁113安排之第二層120的垂直部分係保留及構成間隔物130。
該第二層120之蝕刻係相關於基材100及第一層110具選擇性。該基材的材料較佳為對該犧牲材料之蝕刻不敏感。在相反情況中,可提供特定層來保護該基材100不被蝕刻。
在這些步驟S13及S14期間,由犧牲材料製得的第一層110保護該中性層220。因此,並無該中性層220的化學親和力將被該沈積電漿(PEALD)或蝕刻電漿修改之風險。
在圖2E的步驟S15中,對該共聚物嵌段之一具有較佳親和力的第二聚合物150係在空腔111之底部處接枝到基材100上且位於該間隔物130間之範圍中。為了達成此,可將第二聚合物150溶解在溶劑中來形成第二聚合物溶液,然後,將此第二溶液沈積在該基材100上直到部分或完全填滿該空腔111。較佳的是,藉由離心將該第二聚合物溶液沈積在基材100上。然後,使用與圖2A相關所描述者相同的技術達成接枝,例如,藉由退火。然後,在該溶液中的第二聚合物150有一部分係在空腔111之底部處接附至基材100,及多餘地,在間隔物130的表面處。如上述,有利的是,接著該接枝為使用溶劑沖洗的操作。
因此,該第一層110及由犧牲材料製得的間隔物130作用為遮罩或模板來將該第二聚合物150的接枝定位在基材100上。
較佳的是,該第二聚合物150係與該共聚物嵌段之一相同組成物的同元聚合物,例如,聚苯乙烯同元聚合物(h-PS)。除此之外,其莫耳質量有利地係少於5公斤‧莫耳-1,以保證在基材100上有高接枝密度。
然後,圖2F的步驟S16在於相關於該基材100、第一聚合物及接枝到基材上的第二聚合物150選擇 性移除該第一層110及由犧牲材料製得的間隔物130。同時消除接枝到該間隔物130的表面之第二聚合物150與該間隔物130。在步驟S16結束時,然後,僅有該中性層220及第二聚合物的圖案餘留在基材100上。在形成間隔物130之步驟(參照圖2C-2D;減少空腔111的寬度W’)後,這些圖案具有空腔111的底部112之形狀及尺寸。它們構成化學引導結構200之引導圖案210。
圖2F的引導圖案210有利地具有間距Ls,其實質上等於自然週期L0的整數倍(Ls=n*L0,其中n係非零自然整數)。間距Ls係引導圖案210的邊緣與下一個引導圖案210的相同邊緣,例如,二個左邊邊緣的分開距離(或其分開二個連串的引導圖案210之中心)。於此,該間距Ls係等於空腔111的週期P(參照圖2B)。
在此第一具體實例中,該等引導圖案210係藉由裸露的基材100表面範圍與該中性層220分開。在藉由移除該等間隔物130所產生的這些範圍中,相關於該嵌段共聚物之化學親和力係由該基材100限定。該基材100可包含對該共聚物嵌段之一具有較佳親和力且與該引導圖案210不同的材料;或相反地,對該共聚物的每個嵌段具有相等親和力,如中性層220。
若該第一層110的犧牲材料與該間隔物130的犧牲材料係相同或最少對相同蝕刻溶液敏感時,可使用溼式方法以單一操作進行步驟S16之移除。例如,當該第一層110及間隔物130係由SiO2製得時,該蝕刻溶液係氫氟酸(HF)溶液。
亦可以二個相繼的操作來消除該第一層110及間隔物130。然後,該犧牲材料及蝕刻溶液必需不同(例如,HF用於SiO2,及H3PO4用於Si3N4)。
接著該移除第一層110及間隔物130的步驟S16者有利地為使用溶劑(水、PGMEA等等)沖洗來消除該蝕刻殘餘物。
在該方法之未於圖形中表示出的另一個具體實例中,於步驟S15中,該第二聚合物溶液係以超厚度(overthickness)沈積在該第一層110上。然後,第二聚合物150亦接枝在由犧牲材料製得的第一層110上。為了提供第一層110及間隔物130接觸蝕刻溶液,可必需事先移除接枝到該第一層110的第二聚合物150。然後,可在所謂的平整化步驟期間,藉由電漿(例如,以CO、O2、CO2、H2、N2等等為主)進行此移除,且在該第一層110上終止蝕刻(透過使用反射儀來偵測該第一層110)。
圖4A至4G代表根據本發明的第二具體實例之用以形成化學引導結構的方法之步驟S21至S27。
在此第二具體實例中,形成引導圖案210及中性層220的順序顛倒。形成由第二聚合物製得的引導圖案210之方式亦與該第一具體實例不同。不是使用遮罩來定位該第二聚合物150之接枝(參照圖2E)外,而是該第二聚合物可在基材之寬區域上接枝或交聯,然後使用一包含間隔物的遮罩來圖形化。
步驟S21至S24係關於間隔物之形成。
在由圖4A闡明的第一步驟S21期間,例如, 藉由沈積一犧牲材料層及藉由光微影光刻來圖形化該層,於基材100上形成通常稱為「心軸(mandrel)」的台面形狀圖案300。例如,該心軸300的犧牲材料係藉由離心沈積之碳素物質(「旋壓碳」,SOC)。該等心軸300有利地具有間距Ls實質上等於該嵌段共聚物的自然週期L0之整數倍(Ls=n*L0±10%,其中n係非零自然整數),及較佳為在60奈米至140奈米間。
然後,在圖4B的步驟S22中,於該基材100及心軸300上形成第二聚合物150層301。於此情況中,第二聚合物150可係可接枝或可交聯的材料。該第二聚合物150層301可以上述相關於圖2A及由第一聚合物140製得中性層220的方式形成:藉由離心沈積一溶液,接著藉由退火接枝/交聯或藉由UV交聯,接著沖洗。然後,該第二聚合物150層301覆蓋該基材100及心軸300的整體自由態表面。較佳為固定厚度(2-15奈米)。
在S23(參照圖4C)中,以保形方式(例如,PLD、PEALD)將由犧牲材料(例如,SiO2、SiOxNy、Al2O3、HfO2等等)製得的層302沈積在第二聚合物150層301上。該犧牲材料層302的厚度係固定及少於12.5奈米。
在下一個步驟S24(參照圖4D)中,相關於該第二聚合物150來選擇性蝕刻該犧牲材料層302。此蝕刻在與基材100的表面垂直之方向上具各向異性,以便消除該犧牲材料層302的水平部分,及僅保留其對著心軸300的側邊配置之垂直部分。在步驟S24中,較佳為使用乾式蝕刻技術,例如,氟(F2)系電漿蝕刻。
該犧牲材料層302的垂直部分構成間隔物311。因此,該間隔物311係成對聚集的突出圖案,及安排在心軸300的任一邊中(圖4D僅表示出二對間隔物)。該間隔物311與基材100的平面平行之截面及尺寸與企圖製造的那些引導圖案210相應。全部間隔物311構成蝕刻遮罩310。
該第二聚合物150較佳為一對電漿不敏感的可交聯材料或可接枝材料,其中若合適的話,該電漿係使用來沈積該犧牲材料層302(PECVD)(圖4C)及/或各向異性蝕刻此相同層302(圖4D)。特別是,此可接枝材料可係聚苯乙烯同元聚合物(h-PS)。諸如X-PS的可交聯聚合物具有比可接枝聚合物更大抗性的優點。相反地,它們更難以使用,因為其更難以藉由離心製造出低厚度(低於10奈米)的均勻膜。
參照圖4E,然後,該方法包含一透過該遮罩310的間隔物來蝕刻該第二聚合物層301直到到達基材100之步驟S25。該各向異性蝕刻可藉由電漿進行,例如,氧基底(O2)電漿。此步驟S25導致該間隔物圖案311被轉印進該第二聚合物層301中;換句話說,一些與在該遮罩310中的間隔物圖案311數目相同之引導圖案210。然後,有利地在此相同步驟S25期間消除該由碳素物質製得的心軸300。該基材100較佳為對蝕刻不敏感(或由對蝕刻不敏感的層保護)。
寬度W(在圖4A-4G的截面平面中測量)係間隔物311的最小尺寸,其通常稱為「臨界尺寸」。其決 定化學引導結構200的引導圖案210之寬度(參照圖4E)。於此情況中,間隔物311及因此引導圖案210的臨界尺寸W係少於12.5奈米,以便能夠組裝稱為「高-X」的新生代嵌段共聚物。
有利的是,該間隔物311的臨界尺寸W進一步實質上等於該嵌段共聚物之半自然週期L0(W=L0/2±10%),以最小化該共聚物嵌段組織的缺陷數目。所提供的對之二個間隔物的分開距離D1,或換句話說,心軸300的寬度(參照圖4D-4E)實質上等於半自然週期L0/2的奇數倍(D1=n1*L0/2±10%,其中n1係奇數的自然整數),例如,等於3*L0/2。二個連串的間隔物311對之分開距離D2實質上等於半自然週期L0/2的奇數倍(D2=n2*L0/2±10%,其中n2係奇數的自然整數),例如,等於3*L0/2。因此,心軸(參照圖4A)或間隔物對(參照圖4E)的間距Ls等於該嵌段共聚物的自然週期L0之整數倍(Ls=D1+D2+2W=n1*L0/2+n2*L0/2+2*L0/2=n*L0,其中n係非零自然整數,n1及n2係奇數的自然整數)。在二個連串的間隔物311間之邊緣至邊緣距離(或中心至中心距離)亦等於該嵌段共聚物的自然週期L0之整數倍(D1+W=(n1+1)*L0/2和D2+W=(n2+1)*L0/2)。
下一個由圖4F闡明的步驟S26在於將第一聚合物140接枝至基材100而形成中性層220。第一聚合物140係穿過間隔物311在一或多個沒有引導圖案210的範圍中,及有利地在引導圖案210外的基材100之整體表面上接枝。圖4F的步驟S26較佳為以相關於圖2E 所描述的方式(步驟S15)達成。
最後,在圖4G的步驟S27中,相關於該基材100及該第一及第二聚合物來選擇性移除該由犧牲材料製得的間隔物311,以便曝露出引導圖案210。該間隔物311可藉由溼式蝕刻移除(例如,在該間隔物係由SiO2製得的情況中,HF)。
當該第二聚合物150係一種可交聯材料時,亦可在該第一聚合物140接枝(步驟S26)前進行該間隔物311之移除(步驟S27)。更確切來說,於此情況中,自該第二聚合物形成的引導圖案210未由該第一聚合物之接枝影響(該第一可接枝聚合物不置換該第二可交聯聚合物或變成與其摻合)。
在根據本發明之方法後所獲得及由圖2F及4G所表示的化學引導結構200可使用在嵌段共聚物的定向自組裝(DSA)方法中,及更特別是,在化學磊晶方法中,產生非常高解析度及密度的二級圖案。
參照圖3及5,此化學磊晶方法包含(除了形成引導結構200外)一在化學引導結構200上沈積嵌段共聚物500的步驟,及一例如藉由熱退火來組裝該嵌段共聚物500的步驟。該嵌段共聚物500可係二嵌段共聚物(二種單體)或多嵌段共聚物(多於二種單體)、聚合物的摻合物、共聚物的摻合物,或任擇地,共聚物及同元聚合物之摻合物。在組裝後,由於該中性層220之存在,該共聚物的嵌段係定向成與該基材100垂直。
在圖3的具體實例(與圖2A-2F相應)中,該 嵌段共聚物500可依在單體嵌段間之比例而呈任何形態,例如,片狀、圓柱狀、球形、螺旋二十四面體等等。
在圖5的具體實例(與圖4A-4G相應)中,該嵌段共聚物500係呈片狀形態,因為該間隔物311及引導圖案210具有線形截面(在與基材100平行的平面中)。
較佳的是,該嵌段共聚物500係「高-X」共聚物,即,自然週期L0少於25奈米的共聚物。其可選自於下列材料當中:- PS-b-PMMA:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯,其中該二種嵌段的至少一種係經化學修改;- PS-b-PDMS:聚苯乙烯-嵌段-聚二甲基矽氧烷;- PS-b-PLA:聚苯乙烯-嵌段-聚乳酸;- PS-b-PEO:聚苯乙烯-嵌段-聚氧化乙烯;- PS-b-PMMA-b-PEO:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯-嵌段-聚氧化乙烯;- PS-b-P2VP:聚苯乙烯-嵌段-聚(2乙烯吡啶);- PS-b-P4VP:聚苯乙烯-嵌段-聚(4乙烯吡啶);- PS-b-PFS:聚(苯乙烯)-嵌段-聚(二茂鐵基二甲基矽烷);- PS-b-PI-b-PFS:聚(苯乙烯)-嵌段-聚(異戊二烯)-嵌段-聚(二茂鐵基二甲基矽烷);- PS-b-P(DMS-r-VMS):聚苯乙烯-嵌段-聚(二甲基矽氧烷-r-乙烯基甲基矽氧烷);- PS-b-PMAPOSS:聚苯乙烯-嵌段-聚(丙烯酸甲酯)POSS; - PDMSB-b-PS:聚(1,1-二甲基矽環丁烷)-嵌段-聚苯乙烯;- PDMSB-b-PMMA:聚(1,1-二甲基矽環丁烷)-嵌段-聚(甲基丙烯酸甲酯);- PMMA-b-PMAPOSS:聚(甲基丙烯酸甲酯)-嵌段-聚(丙烯酸甲酯)POSS;- P2VP-b-PDMS:聚(2-乙烯吡啶)-嵌段-聚(二甲基矽氧烷);- PTMSS-b-PLA:聚(三甲基矽基苯乙烯)-嵌段-聚(D,L-交酯);- PTMSS-b-PDLA:聚(三甲基矽基苯乙烯)-嵌段-聚(D-乳酸);- PTMSS-b-PMOST:聚(三甲基矽基苯乙烯)-嵌段-聚(4-甲氧基苯乙烯);- PLA-b-PDMS:聚(D,L-交酯)-嵌段-聚(二甲基矽氧烷);- PAcOSt-b-PSi2St:聚(4-乙醯氧基苯乙烯)-嵌段-聚(4-(雙(三甲基矽烷基)甲基)苯乙烯);- 1,2-PB-b-PDMS:1,2-聚丁二烯-嵌段-聚(二甲基矽氧烷);- PtBS-b-PMMA:聚(4-三級丁基苯乙烯)-嵌段-聚(甲基丙烯酸甲酯);- PCHE-b-PMMA:聚環己烷-嵌段-聚(甲基丙烯酸甲酯);- MH-b-PS:麥芽七糖-嵌段-聚苯乙烯。
文件WO 2014/165530提供一具有至少一個經化學修改的嵌段之共聚物PS-b-PMMA的實施例,其內容係以參考方式併入本文。例如,該經修改的共聚物PS-b-PMMA係P(S-VBCB)-b-PMMA(聚(苯乙烯-共-2-乙烯基苯并環丁烯-嵌段-聚(甲基丙烯酸甲酯))。在該第一嵌段中,於苯乙烯與VBCB間之莫耳比率典型在90/10及10/90間,較佳為在90/10至30/70間,而更優先為在50/50至30/70間。
該嵌段共聚物典型為具有平均分子質量在1,000Da至100,000Da間,較佳為在5,000Da至50,000Da間,而更優先為在10,000Da至40,000Da間。此外,在該第一嵌段與第二嵌段間之莫耳比率典型為在90/10至10/90間,較佳為在70/30至30/70間,而更優先為50/50(以便具有片狀形態)。
更通常來說,該經修改的共聚物PS-b-PMMA(其中該PS嵌段係經修改)可係式P(S-X)-b-PMMA,其中X可係下列單體之一或下列單體的組合:乙烯基苯并環丁烯(VBCB)、1-乙烯基萘酸酯、2-乙烯基萘酸酯、2-乙烯基蒽、9-乙烯基蒽、9-乙烯基菲、4-三甲基矽基苯乙烯。
圖6代表圖4A-4G之方法的選擇性步驟S37。此步驟係在圖4F之步驟S26後達成。
在此步驟S37期間,該中性層220的化學親和力係經局部修改,即,僅在部分的中性層220中,以便在基材100的表面上形成一與該共聚物的嵌段之一有 較佳親和力的區域400。該區域400較佳為不具有引導圖案210。
該中性層220的化學親和力較佳為藉由曝露至波長λ在150奈米至350奈米間,例如,等於172奈米之紫外光輻射(UV)410而修改。
為了定位該中性層220的化學改質,可在該輻射來源與支撐中性層220的基材100間插入一遮罩(未表示)。該遮罩曝露出想要修改該化學親和力的中性層之第一部分220a。相反地,其覆蓋該引導圖案210及該中性層的第二部分220b,相反地,其想要完整留下。於此情況中,該曝露可在移除該間隔物311之步驟S27前或後進行。
如由圖6闡明,該曝露至UV輻射410可任擇地在整體基材100的表面上(即,全板)而沒有插入任何遮罩及利用間隔物311來進行。然後,該曝露必需在移除間隔物311前進行。
更確切來說,藉由兩兩間隔開一少於或等於該UV輻射的半波長λ之距離(D1λ/2及D2λ/2;參照圖4E),該間隔物311形成濾波器而防止UV輻射410到達引導圖案210及該中性層的第二部分220b。
可使用(與遮罩結合)其它處理,諸如曝露至電子束、離子植入法或曝露至某些電漿來局部修改該中性層220之化學親和力。
圖7代表在移除圖6之間隔物311後所獲得的引導結構200’,及在此引導結構200’上組裝的嵌段共 聚物500。該引導結構200’包含引導圖案210、該中性層的第一部分220a及第二部分220b。
在組裝期間,該共聚物之嵌段在較佳親和力的區域400中未定向成與該基材100垂直,而是該中性層的第一部分220a係定位成與該基材100平行。因此,可在該基材的此區域400中無進行二級圖案之轉印(在移除該共聚物的一相後)。
如上述提及,使用間隔物130(圖2A-2F)及311(圖4A-4G)來標出引導圖案210的界限,使得其可獲得一與「高-X」嵌段共聚物相容之引導結構。該間隔物寬度,因此引導圖案的臨界尺寸可容易地藉由改變保形沈積的厚度來控制。使用間隔物亦能夠減低該引導圖案的線條邊緣粗糙度(LER)及線寬粗糙度(LWR),此導致較高品質的組裝。
當然,根據本發明之形成方法不限於參照圖2、4及6所描述的具體實例,及將由熟習該項技術者進行許多變型及修改。特別是,該第一聚合物140及第二聚合物150可對上述那些具有其它組成物。類似地,可使用其它嵌段共聚物。
可使用根據本發明的形成方法來製造之化學引導結構不限於同元聚合物引導圖案與中性層並接。可使用對上述那些具有不同化學親和力之其它型式的圖案。例如,該化學引導結構200可包含對該共聚物之嵌段具有較佳親和力的第一圖案(或圖案組)及對該共聚物之另一個嵌段具有較佳親和力的第二圖案(或圖案組)。 然後,該第一及第二聚合物二者可係同元聚合物。

Claims (9)

  1. 一種用以形成化學引導結構(200,200’)之方法,其中該結構意欲藉由化學磊晶用於嵌段共聚物(500)之自組裝,該方法包含下列步驟:-在一基材(100)上形成一功能化層(220),其中該功能化層係由一相關於該嵌段共聚物(500)具有第一化學親和力之第一聚合物材料(140)製得;-在該基材上形成引導圖案(210),其中該引導圖案係由一相關於該嵌段共聚物具有第二化學親和力之第二聚合物材料(150)製得,其中該第二化學親和力係與該第一化學親和力不同;其特徵為該引導圖案(210)具有臨界尺寸(W)少於12.5奈米及係藉由一包含間隔物(130,311)的遮罩(310)形成。
  2. 如請求項1之方法,其中該第一化學親和力係對每個共聚物嵌段相等,及其中該第二化學親和力係對該共聚物的嵌段之一為優先。
  3. 如請求項1及2之一項的方法,其包含下列步驟:-在該基材(100)上形成(S11)該功能化層(220);-在該功能化層上沈積(S12)一犧牲材料(110)層;-在該犧牲材料(110)層中及在該功能化層(220)中形成(S12)開口到該基材(100)上的空腔(111),其中每個空腔包含底部(112)及側壁(113);-對著該空腔(111)的側壁形成(S13,S14)該間隔物(130); -將該第二聚合物材料(150)接枝(S15)到該空腔在該間隔物(130)間之底部上;及-移除(S16)該間隔物(130)及該犧牲材料(110)層。
  4. 如請求項1及2之一項的方法,其包含下列步驟:-在該基材(100)上形成(S22)該第二聚合物材料(150)層(301);-在該第二聚合物材料層上形成(S23-S24)該間隔物(311);-透過該間隔物(311)蝕刻(S25)該第二聚合物材料層(301)以形成該引導圖案(210);-將該第一聚合物材料(140)接枝(S26)到在該引導圖案外的基材上以形成該功能化層(220);及-移除(S27)該間隔物(311)。
  5. 如請求項4之方法,更包含一藉由曝露至紫外光輻射(410)來修改僅在功能化層(220)的一部分(220a)中之第一化學親和力的步驟(S37)。
  6. 如請求項5之方法,其中:-在移除該間隔物(311)的步驟(S27)前,於基材(100)之整體表面上進行該曝露至紫外光輻射(410);-該紫外光輻射具有波長(λ)包含在150奈米至350奈米間;及-該間隔物(311)係兩兩分開一少於或等於半波長的距離(D1,D2)。
  7. 如請求項1至6中任一項之方法,其中該引導圖案(210)的臨界尺寸(W)進一步實質上等於該嵌段共聚物(500) 的半自然週期(L0)。
  8. 一種化學磊晶方法,其包含下列步驟:-使用如請求項1至7中任一項之方法在一基材(100)上形成一化學引導結構(200,200’);-在該化學引導結構(200,200’)上沈積一嵌段共聚物(500);及-組裝該嵌段共聚物(500)。
  9. 如請求項8之方法,其中該嵌段共聚物(500)係一自然週期(L0)少於25奈米的共聚物。
TW107146341A 2017-12-21 2018-12-21 在基材上形成化學引導結構的方法及化學磊晶方法 TWI794377B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??1762863 2017-12-21
FR1762863A FR3075774B1 (fr) 2017-12-21 2017-12-21 Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
FR1762863 2017-12-21

Publications (2)

Publication Number Publication Date
TW201935521A true TW201935521A (zh) 2019-09-01
TWI794377B TWI794377B (zh) 2023-03-01

Family

ID=61802123

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107146341A TWI794377B (zh) 2017-12-21 2018-12-21 在基材上形成化學引導結構的方法及化學磊晶方法

Country Status (6)

Country Link
US (1) US10845705B2 (zh)
EP (1) EP3503165B1 (zh)
JP (1) JP7340331B2 (zh)
KR (1) KR20190075857A (zh)
FR (1) FR3075774B1 (zh)
TW (1) TWI794377B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744059B (zh) * 2019-10-29 2021-10-21 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3051965A1 (fr) * 2016-05-27 2017-12-01 Commissariat Energie Atomique Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
FR3075775B1 (fr) * 2017-12-21 2020-01-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
US10727058B2 (en) * 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
FR3134651A1 (fr) 2022-04-13 2023-10-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication d'un capteur de lumière

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0215858D0 (en) 2002-07-09 2002-08-14 Cambridge Display Tech Ltd Patterning method
US9182673B2 (en) * 2011-07-18 2015-11-10 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
US9291909B2 (en) 2013-05-17 2016-03-22 Az Electronic Materials (Luxembourg) S.A.R.L. Composition comprising a polymeric thermal acid generator and processes thereof
KR102651697B1 (ko) * 2015-09-07 2024-03-27 아이엠이씨 브이제트더블유 트렌치 보조 케모에피탁시(trac) dsa 흐름

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744059B (zh) * 2019-10-29 2021-10-21 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
US11784056B2 (en) 2019-10-29 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning

Also Published As

Publication number Publication date
FR3075774B1 (fr) 2021-07-30
FR3075774A1 (fr) 2019-06-28
EP3503165B1 (fr) 2021-03-03
EP3503165A1 (fr) 2019-06-26
US20190196336A1 (en) 2019-06-27
US10845705B2 (en) 2020-11-24
JP2019114792A (ja) 2019-07-11
JP7340331B2 (ja) 2023-09-07
TWI794377B (zh) 2023-03-01
KR20190075857A (ko) 2019-07-01

Similar Documents

Publication Publication Date Title
TWI794377B (zh) 在基材上形成化學引導結構的方法及化學磊晶方法
JP6735544B2 (ja) 基板表面上にパターンを作製するためのグラフォエピタキシー法
US10059820B2 (en) Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
US8715917B2 (en) Simultaneous photoresist development and neutral polymer layer formation
US20150024597A1 (en) Method for sidewall spacer line doubling using polymer brush material as a sacrificial layer
US9230820B2 (en) Method for directed self-assembly (DSA) of a block copolymer (BCP) using a blend of a BCP with functional homopolymers
US9552988B2 (en) Tone inverted directed self-assembly (DSA) fin patterning
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US20130270226A1 (en) Methods Using Block Co-Polymer Self-Assembly for Sub-Lithographic Patterning
US20090117360A1 (en) Self-assembled material pattern transfer contrast enhancement
EP3062334B1 (en) Method for patterning an underlying layer
US20160342089A1 (en) Method for directed self-assembly (dsa) of a block copolymer (bcp) using a topographic pattern
US10784108B2 (en) Method for forming a functionalised assembly guide
TW201936482A (zh) 在基材上形成化學引導結構的方法及化學磊晶方法
JP2019519105A (ja) グラフォエピタキシーによるブロック共重合体の誘導自己組織化のための方法
CN110993565A (zh) 一种定向自组装制备半导体纳米器件结构的方法