KR102531315B1 - 자체 정렬된 이중 패터닝 - Google Patents

자체 정렬된 이중 패터닝 Download PDF

Info

Publication number
KR102531315B1
KR102531315B1 KR1020200139243A KR20200139243A KR102531315B1 KR 102531315 B1 KR102531315 B1 KR 102531315B1 KR 1020200139243 A KR1020200139243 A KR 1020200139243A KR 20200139243 A KR20200139243 A KR 20200139243A KR 102531315 B1 KR102531315 B1 KR 102531315B1
Authority
KR
South Korea
Prior art keywords
layer
mandrels
mask
spacer
spacers
Prior art date
Application number
KR1020200139243A
Other languages
English (en)
Other versions
KR20210053212A (ko
Inventor
쿠안웨이 후앙
위위 천
쥐홍 시에
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210053212A publication Critical patent/KR20210053212A/ko
Application granted granted Critical
Publication of KR102531315B1 publication Critical patent/KR102531315B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

방법은 제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위에 맨드릴 층을 패터닝하는 단계를 포함하며, 제 1 맨드릴들은 제 2 맨드릴들보다 더 큰 폭을 갖는다. 스페이서 층이 제 1 맨드릴들 및 제 2 맨드릴들 위에 형성되고, 제 1 맨드릴들 위의 스페이서 층의 두께가 제 2 맨드릴들 위의 스페이서 층의 두께보다 두껍도록 변경된다. 스페이서들은, 제 2 맨드릴들에 인접한 스페이서들보다 제 1 맨드릴들에 인접한 더 큰 폭을 갖는 스페이서 층으로부터 형성된다. 스페이서들은 타겟 층을 에칭하는 데 사용된다.

Description

자체 정렬된 이중 패터닝{SELF-ALIGNED DOUBLE PATTERNING}
본 출원은 "자체 정렬된 이중 패터닝의 가변 공간 방법(Vary Space Method in Self-Aligned Double Patterning)"이라는 명칭으로 2019년 11월 7일 출원된 미국 가특허 출원 번호 제62/927,336호의 우선권을 주장하며, 이 미국 출원은 본원에 참고로 포함된다.
이중 패터닝(double patterning)은 피처 밀도(feature density)를 높이기 위해 리소그래피용으로 개발된 기술이다. 전형적으로, 웨이퍼들 상에 집적 회로들의 피처들을 형성하기 위해, 리소그래피 기술이 사용되며, 이 리소그래피 기술은 포토 레지스트를 도포하는 것과, 포토 레지스트 상에 피처들을 정의하는 것을 포함한다. 패터닝된 포토 레지스트의 피처들이 먼저 리소그래피 마스크 내에 정의되고, 리소그래피 마스크에서 투명 부분들에 의해 또는 불투명 부분들에 의해 구현된다. 패터닝된 포토 레지스트의 피처들은 그 후 제조되는 피처들에 전사된다.
집적 회로들의 다운 스케일링을 증가시킴에 따라, 광학 근접 효과는 점점 더 큰 문제를 야기한다. 두 개의 개별 피처가 서로 너무 가까울 경우, 광학 근접 효과로 인해 피처들은 서로 단락될 수 있다. 이러한 문제를 해결하기 위해, 이중 패터닝 기술이 도입되고 있다. 이중 패터닝 기술에서, 밀접하게 위치한 피처들은 동일한 이중 패터닝 마스크 세트의 두 개의 포토리소그래피 마스크로 분리되며, 이들 두 개의 마스크는 동일한 포토 레지스트를 노출하는 데 사용되거나 동일한 하드 마스크를 패터닝하는 데 사용된다. 각각의 마스크에서, 피처들 간의 거리는 이와는 다른 단일 마스크의 피처들 간의 거리를 넘어 증가되고, 따라서 광학적 근접 효과는 감소되거나, 또는 이중 패터닝 마스크에서 실질적으로 제거된다.
본 개시 내용의 양태들은 첨부 도면과 함께 읽혀지는 이하의 상세한 설명으로부터 최상으로 이해된다. 주목할 것은 본 산업의 표준 관행에 따라 다양한 피처들(features)이 축척대로 도시되는 것은 아니라는 것이다. 실제로, 다양한 피처들의 치수는 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1a, 도 1b, 및 도 1c 내지 도 24a, 및 도 24b는 일부 실시예에 따른 금속 라인들의 형성에서의 중간 스테이지들의 단면도들 및 평면도들이다.
도 25 내지 도 27은 일부 실시예에 따른 금속 라인들을 형성하기 위한 다양한 공정 흐름들을 도시한 것이다.
도 28a 및 도 28b 내지 도 35a 및 도 35b는 일부 실시예에 따른 금속 라인들의 형성에서의 중간 스테이지들의 단면도들 및 평면도들이다.
도 36은 일부 실시예에 따른 금속 라인들을 형성하기 위한 공정 흐름을 도시한 것이다.
도 37a 및 도 37b 내지 도 47a 및 도 47b는 일부 실시예에 따른 금속 라인들의 형성에서의 중간 스테이지들의 단면도들 및 평면도들이다.
도 48은 일부 실시예에 따른 금속 라인들을 형성하기 위한 공정 흐름을 도시한 것이다.
도 49a 및 도 49b 내지 도 54a 및 도 54b는 일부 실시예에 따른 희생 재료의 필러들의 형성에서의 중간 스테이지들의 단면도들 및 평면도들이다.
도 55는 일부 실시예에 따른 금속 라인들을 형성하기 위한 공정 흐름을 도시한 것이다.
이하의 개시 내용은 본 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 이하에서는 본 개시 내용을 단순화하기 위해 특정 예의 컴포넌트들 및 배열체들이 기술된다. 이들은 물론 예에 불과할 뿐이며 제한하려는 것이 아니다. 예를 들어, 이하의 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처를 형성하는 것은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있도록 제 1 피처와 제 2 피처 사이에 추가적인 피처들이 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시 내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명확성을 위한 것이며, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 나타내는 것은 아니다.
또한, "바로 아래에 놓이는", "아래", "하부", "위에 놓이는", "상부" 등과 같은 공간 관련 용어는 본원에서 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 도면에 도시한 바와 같이 기술하기 위한 설명의 편의를 위해 사용될 수 있다. 이들 공간 관련 용어는 도면에 도시된 방향 외에도 사용 중인 또는 동작 중인 디바이스의 다양한 방향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있고(90도 또는 다른 방향으로 회전될 수 있고), 그에 따라 본원에서 사용되는 공간 관련 서술자가 마찬가지로 해석될 수 있다.
다양한 예시적인 실시예에 따라 금속 라인들과 같은 피처들 및 그 형성 방법들이 제공된다. 일부 실시예에 따라 피처들을 형성하기 위한 중간 스테이지들이 도시된다. 일부 실시예들의 일부 변형이 논의된다. 다양한 도면들 및 예시적인 실시예들에 걸쳐, 유사한 요소들을 지정하기 위해 유사한 참조 번호들이 사용된다.
일반적으로, 자체 정렬된 이중 패터닝(self-aligned double patterning)(SADP)는, 사용 가능한 포토리소그래피 공정을 사용하여 패터닝되는 맨드릴 층(mandrel layer)이라고 때때로 지칭되는 베이스 패턴(base pattern)을 사용함으로써 작동된다. 그 후, 스페이서 층이 맨드릴 층 베이스 패턴 위에 컨포멀하게 증착되고 스페이서 층의 수평 부분들을 제거하도록 에칭된다. 맨드릴 층 베이스 패턴이 제거된 후, 스페이서 층의 나머지 수직 부분들은 하부의 층을 패터닝하기 위한 마스크가 된다. 스페이서 층의 이러한 수직 부분들은 스페이서 층이 증착되는 두께에 의해 결정되는 폭을 갖는다.
맨드릴 층 위에 증착되는 스페이서 층의 상이한 두께들을 달성할 수 있는 실시예가 제공된다. 스페이서 층이 수평 부분들을 제거하도록 에칭될 경우, 결과적인 마스크는 단일 다이 또는 웨이퍼의 상이한 구역들에서 상이한 폭들을 가질 수 있다. 보다 큰 폭을 가진 마스크는 후속적으로 형성되는 금속 라인들 사이에 보다 큰 분리를 제공하는 반면, 보다 작은 폭을 가진 마스크는 후속적으로 형성되는 금속 라인들 사이에 보다 적은 분리를 제공한다. 이러한 차이들은 다이 디자인에서 유리하게 사용될 수 있어, 금속 라인들의 분리가 덜 필요한 더 작은 다이 구역들 달성하는 동시에 다이의 다른 구역들에서는 보다 큰 분리를 유지할 수 있다. 그 결과, 전체 다이 구역이 유리하게 감소될 수 있다. 예를 들어, 금속 라인들 사이의 분리의 폭을 제어함으로써, 금속 라인들 사이의 캐패시턴스 효과를 제어할 수 있다. 캐패시턴스 효과에 민감한 디바이스 구역들은 보다 큰 분리를 제공하기 위해 더 두꺼운 스페이서들을 사용할 수 있는 반면, 덜 민감한 디바이스 구역들은 보다 적은 분리를 제공하기 위해 더 얇은 스페이서들을 사용할 수 있다. 실시예들은 동일한 디바이스 다이 내에 더 두껍거나 더 얇은 스페이서들을 모두 갖는 방식들 및 패터닝 공정들을 제공한다.
도 1a, 도 1b, 및 도 1c 내지 도 24a, 및 도 24b는 일부 예시적인 실시예에 따라 타겟 층 내로의 피처들의 형성에서의 중간 스테이지들의 평면도들 및 단면도들을 도시한 것이다. 도 28a 및 도 28b 내지 도 35a 및 도 35b는 다른 예시적인 실시예들에 따라 타겟 층 내로의 피처들의 형성에서의 중간 스테이지들의 평면도들 및 단면도들을 도시한 것이다. 도 37a 및 도 37b 내지 도 47a 및 도 47b는 또 다른 예시적인 실시예들에 따라 타겟 층 내로의 피처들의 형성에서의 중간 스테이지들의 평면도들 및 단면도들을 도시한 것이다. 도 49a 및 도 49b 내지 도 54a 및 도 54b는 일부 예시적인 실시예에 따라 타겟 층 내로의 피처들의 형성에서의 중간 스테이지들의 평면도들 및 단면도들을 도시한 것이다.
도 1a 및 도 1b 내지 도 24a 및 24b, 도 28a 및 도 28b 내지 도 35a 및 도 35b, 도 37a 및 도 37b 내지 도 47a 및 도 47b, 및 도 49a 및 도 49b 내지 도 54a 및 도 54b에서, 도면의 숫자들은 문자 "a", 문자 "b", 또는 문자 "c"를 포함할 수 있다. 문자 "a"는 제각기의 도면이 수직 단면도를 도시함을 나타낸다. 문자 "b"는 제각기의 도면이 평면도 또는 상부 층을 관통하는 수평 단면도를 도시함을 나타낸다. 문자 "c"는 제각기의 도면이 수직 단면도를 도시함을 나타낸다. 동일한 숫자와 상이한 문자들 "a", "b", 및 "c"를 갖는 도면들은 동일한 공정 단계의 상이한 도면이라는 것을 나타낸다. 또한, "a" 도면들의 단면도들은 제각기의 "b" 도면들에서 A-A 라인을 포함하는 평면으로부터 획득되고, (적용가능한 경우) "b" 도면들의 단면도들은 제각기의 "a" 도면들에서 B-B 라인을 포함하는 평면으로부터 획득되고, 그리고 "c" 도면들의 단면도들은 제각기의 "b" 도면들에서 C-C 라인을 포함하는 평면으로부터 획득된다.
도 1a는 라인 A-A(도 1b 참조)를 따른 웨이퍼(100)의 단면도를 도시하며, 기판(10) 및 상부에 놓인 층들을 포함한다. 도 1b는 도 1a 및 도 1c의 웨이퍼(100)의 평면도이다. 도 1c는 라인 C-C(도 1b 참조)를 따른 웨이퍼(100)의 단면도를 도시한다. 도 1b는 제 1 영역(100A), 제 2 영역(100B), 및 제 3 영역(100C)을 포함한다. 제 1 영역(100A)은 제 1 피치로 형성된 금속 라인들 및 비아들을 포함하는 금속 피처들을 가질 것이고, 제 2 영역(100B)은 제 2 피치로 형성된 금속 라인들 및 비아들을 포함하는 금속 피처들을 가질 것이다. 즉, 라인들 간 및/또는 라인들의 폭들 간의 간격은 제 2 영역(100B)에서보다는 제 1 영역(100A)에서 상이할 것이다. 선택적인 제 3 영역(100C)은 내부에 금속 라인들이 형성되지 않은 영역이다. 웨이퍼는 제 1 영역(100A), 제 2 영역(100B), 및 제 3 영역(100C)의 각각을 다수 가질 수 있다.
기판(10)은 반도체 재료, 예를 들어, 실리콘, 실리콘 게르마늄 등으로 형성될 수 있다. 본 개시 내용의 일부 실시예에 따르면, 기판(10)은 결정질 반도체 기판, 예를 들어, 결정질 실리콘 기판, 결정질 실리콘 탄소 기판, 결정질 실리콘 게르마늄 기판, III-V 화합물 반도체 기판 등이다. 내부에 트랜지스터들을 포함할 수 있는 능동 디바이스(12)는 기판(10)의 상단 표면에 형성된다.
유전체 층(14)이 기판(10) 위에 형성된다. 본 개시 내용의 일부 실시예에 따르면, 유전체 층(14)은 금속 간 유전체(Inter-Metal Dielectric)(IMD) 또는 층간 유전체(Inter-Layer Dielectric)(ILD)이며, 이는, 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 유전체 재료로 형성될 수 있다. 유전체 층(14)은 실리콘 산화물 층, 예를 들어, 실리콘 산화물을 형성하는 테트라에틸 오르토실리케이트(tetraethyl orthosilicate)(TEOS) 등을 포함할 수 있다. 본 개시 내용의 일부 실시예에 따르면, 구리 라인들 또는 텅스텐 컨택 플러그 등과 같은 금속 피처일 수 있는 도전성 피처(16)가 유전체 층(14) 내에 형성된다. 일부 실시예에서, 에칭 정지 층(26)은 유전체 층(14) 위에 형성된다. 에칭 정지 층(26)은 유전체 재료, 예를 들어, 실리콘 탄화물, 실리콘 질화물, 실리콘 옥시 탄화물, 실리콘 옥시 질화물 등으로 형성될 수 있다. 일부 실시예에서, 에칭 정지 층(26)은 생략될 수 있다.
유전체 층(28)이 에칭 정지 층(26) 위에 추가로 형성된다. 유전체 층(28)은 IMD 층일 수 있으며, 이는, 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 유전체 재료로 형성된다. 유전체 층(14)은 실리콘 산화물 층, 예를 들어, 실리콘 산화물을 형성하는 TEOS 등을 포함할 수 있다. 유전체 층(28)은 탄소를 포함하는 화합물일 수 있으며, 그 내부에 공극들(pores)을 가질 수 있다. 본 개시 내용의 대안적인 실시예들에 따르면, 유전체 층(28)은 3.8 이상의 k 값을 갖는 비 로우-k 유전체 층이다. 설명 전반에 걸쳐, 층(28)은 또한 타겟 층(28)으로 지칭된다. 본 개시 내용의 일부 실시예에 따라 복수의 패터닝된 피처가 타겟 층(28) 내에 형성될 것이다.
다른 실시예들에 따르면, 층(28)은 반도체 기판이다. 본 개시 내용의 이들 실시예들에 따르면, 층(28) 아래에 있는 하나 이상의 추가 층이 생략될 수 있다. 따라서, 도 1a에 도시된 바와 같은 예시된 기판(10), 유전체 층(14), 및 도전성 피처들(16)은 이들 실시예들에 따라 존재하지 않을 수 있다.
타겟 층(28) 위에는, 유전체 재료, 예를 들어, 실리콘 산화물(예컨대, 산화물을 형성하는 TEOS), 실리콘 질화물, 무 질소 반사 방지 코팅(Nitrogen-Free Anti-Reflective Coating)(NFARC)(이는 산화물임), 실리콘 탄화물, 실리콘 옥시 질화물, 실리콘 옥시 탄화물 등으로 형성될 수 있는 하드 마스크(30)가 존재한다. 형성 방법은 플라즈마 강화 화학 기상 증착(Plasma Enhance Chemical Vapor Deposition)(PECVD), 고밀도 플라즈마(High-Density Plasma)(HDP) 증착, 화학 기상 증착(Chemical Vapor Deposition)(CVD), 원자 층 증착(Atomic Layer Deposition)(ALD) 등을 포함한다.
일부 실시예에서, 하드 마스크(30)는 다중 층을 포함할 수 있다(예컨대, 도 19a 및 도 19b 참조). 하드 마스크(30)의 하단 층은 무 질소 반사 방지 코팅(NFARC)일 수 있고, 약 6 nm 내지 30 nm의 두께일 수 있다. 후속 층은 질화물과 같은 하드 마스크 재료, 예를 들어, 실리콘 질화물, 티타늄 질화물, 탄탈륨 질화물 등일 수 있고, 약 20 nm 내지 25 nm의 두께로 형성될 수 있다. 후속 층은 산화물 층, 예를 들어, 실리콘 산화물을 형성하는 TEOS, 실리콘 탄화물, 실리콘 옥시 탄화물 등일 수 있고, 약 20 nm 내지 약 30 nm의 두께로 형성될 수 있다.
하드 마스크(32)는 하드 마스크(30) 위에 형성된다. 본 개시 내용의 일부 실시예에 따르면, 하드 마스크(32)는 하드 마스크(30)와 접촉하며, 하드 마스크(32)와 하드 마스크(30) 사이에는 추가 층이 형성되지 않는다. 본 개시 내용의 일부 실시예에 따르면, 하드 마스크(32)는 비정질 실리콘으로 형성되거나 또는 하부 하드 마스크(30)에 비해 높은 에칭 선택도를 갖는 다른 재료로 형성된다. 예를 들어, 하드 마스크(30)의 에칭 레이트에 대한 하드 마스크(32)의 에칭 레이트의 비율인 에칭 선택도는 하드 마스크(32)가 에칭될 때 약 20보다 크거나 약 50보다 크다. 설명 전반에 걸쳐, 하드 마스크(32)는 또한 맨드릴 층(32)으로도 지칭된다.
도 1a, 도 1b, 및 도 1c는 또한 제 1 포토리소그래피 공정을 도시한 것이다. 맨드릴 층(32) 위에는 개구부들(351A 및 351B)을 형성하도록 패터닝된 포토 마스크(33)가 형성된다. 주목해야 하는 것은, 달리 명시되지 않는 한, 본원에 사용되는 포토 마스크에 대한 임의의 언급은 단일 포토 레지스트 또는 삼중 층 포토 마스크를 포함할 수 있다는 것이다. 따라서, 포토 마스크(33)는 단일 포토 레지스트 또는 삼중 층 포토 마스크를 포함할 수 있다. 삼중 층(tri-layer)은 하부 층(under layer)(때때로 하단 층이라고도 함), 하부 층 위의 중간층, 및 중간층 위의 상부 층을 포함할 수 있다. 본 개시 내용의 일부 실시예에 따르면, 하부 층 및 상부 층은 유기 재료로 형성된 포토 레지스트로 형성된다. 중간 층은, 질화물(예를 들어, 실리콘 질화물), 옥시 질화물(예를 들어, 실리콘 옥시 질화물), 산화물(예를 들어, 실리콘 산화물) 등일 수 있는 무기 재료로 형성될 수 있다. 중간 층은 상부 층과 하부 층에 비해 높은 에칭 선택도를 가지며, 따라서, 상부 층은 중간 층의 패터닝을 위한 에칭 마스크로서 사용되고, 중간 층은 하부 층의 패터닝을 위한 에칭 마스크로서 사용된다.
예를 들어, 포토 리소그래피 공정에서, 제 1 영역(100A) 내에 개구부들(351A)을 그리고 제 2 영역(100B) 내에 개구부들(351B)을 형성하도록 포토 마스크(33)가 도포되고 패터닝된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(205)로서 예시된다. 본 개시 내용의 일부 실시예에 따르면, 개구부들(351)은 서로 평행한 스트립들의 평면 형상을 갖는 구역들 사이에 위치한다. 다수의 개구부들은 상이한 폭 및 상이한 피치로 서로 떨어져 형성될 수 있다. 제 1 영역(100A) 및 제 2 영역(100B)에 대한 피치 및 폭은 도 3a, 도 3b, 및 도 3c와 관련하여 더 상세히 논의된다. 제 1 영역(100A) 내의 개구부들(351A) 및 제 2 영역(100B) 내의 개구부들(351B)은, x 방향으로 가는 금속 라인들과 같은 금속 피처들이 형성될 수 있는 교차 구역들을 나타낸다. 제 1 영역(100A) 내의 개구부들(351A)은 약 44 nm 내지 약 100 nm의 길이 치수(D1A)와 약 6 nm 내지 약 20 nm의 폭 치수(D2A)를 가질 수 있다. 제 2 영역(100B) 내의 개구부들(351B)은 약 50 nm 내지 약 120 nm의 길이 치수(D1B)와 약 6 nm 내지 약 20 nm의 폭 치수(D2B)를 가질 수 있다. 치수(D2A)는 치수(D2B)와 동일한 값일 수 있다. 제 1 영역(100A) 내의 인접한 개구부(351A) 사이의 피치는 약 20 nm 내지 약 38 nm일 수 있다. 제 2 영역(100B) 내의 인접한 개구부(351B) 사이의 피치는 제 1 영역(100A) 내의 피치보다 클 수 있고, 약 25 nm 내지 약 45 nm일 수 있다. 위에서 언급한 바와 같이, 피치들은 도 3a, 도 3b, 및 도 3c와 관련하여 아래에 예시되어 있다.
도 2a, 도 2b, 및 도 2c에서, 포토 마스크(33)에서의 개구부들(351A) 및 개구부들(351B)을 맨드릴 층(32) 내로 연장하도록 에칭 공정이 수행된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(210)로서 예시된다. 남아 있는 포토 마스크(33)는 그 후, 예를 들어, 애싱 단계에서 제거된다. 결과적인 구조물이 도 2a, 도 2b, 및 도 2c에 도시된다. 에칭은 이방성이므로, 맨드릴 층(32)에서의 개구부들(351A) 및 개구부들(351B)은 포토 마스크(33)에서의 각각의 개구부들과 동일하거나 유사한 사이즈를 갖는다. 본 개시 내용의 일부 실시예에 따르면, 개구부들(351A) 및 개구부들(351B)은 직사각형 형상의 개구부들을 포함할 수 있다. 일부 실시예에서, 맨드릴 층(32)을 에칭하기 전에 트리밍 공정(trimming process)이 사용될 수 있으며, 여기서 트리밍 공정은 포토 마스크(33)의 각각의 패턴들의 폭을 더 감소시키기 위한 이방성 에칭 공정이다.
일부 실시예에 따르면, 맨드릴 층(32)의 에칭은, CF4, HBr, Cl2, O2, 또는 이들의 조합과 같은 공정 가스를 포함하는 공정 챔버(도지되지 않음)에서 수행된다. 공정 가스들의 흐름 레이트(flow rate)는 약 3 sccm 내지 약 500 sccm의 범위일 수 있다. 공정 가스들의 압력은 약 5 mTorr 내지 약 50 mTorr의 범위일 수 있다. 본 개시 내용에 열거되는 값들은 예시이며, 다른 값들이 채택될 수 있음이 이해된다.
도 3a, 도 3b, 및 도 3c에서, 제 2 포토리소그래피 공정이 도시된다. 맨드릴 층(32) 위 및 개구부들(351A 및 351B) 내에는 포토 마스크(34)가 형성되며, 이 포토 마스크(34)는 제 1 영역(100A) 내의 포토 마스크(34A), 제 2 영역(100B) 내의 포토 마스크(34B), 및 제 3 영역(100C) 내의 포토 마스크(34C)로 패터닝된다. 이 단계는 도 25에 도시된 공정 흐름에서 (단계(210)로부터 후속되는) 단계(205)로서 예시된다. 제 1 영역(100A)의 개구부들(352A) 및 제 2 영역(100B)의 개구부들(352B)이 포토 마스크(34) 내에 형성된다. 본 개시 내용의 일부 실시예에 따르면, 개구부들(352A) 및 개구부들(352B)은 서로 평행한 스트립들의 평면 형상을 갖는다. 반면에 개구부들(351A 및 351B)은 x 방향으로 배향되어 있으며, 개구부들(352A 및 352B)은 y 방향으로 배향되어 있다.
포토 마스크(34A) 및 포토 마스크(34B)의 패터닝된 피처들, 예컨대, 스트립들은 2 개의 상이한 폭 및 2 개의 상이한 피치로 형성된다. 포토 마스크(34A)의 패터닝된 피처들의 피치(P1A)는 약 20 nm 내지 약 38 nm일 수 있다. 포토 마스크(34A)의 패터닝된 피처들의 폭들(W1A)은 약 15 nm 내지 약 45 nm일 수 있다. 포토 마스크(34B)의 패터닝된 피처들의 피치(P1B)는 약 25 nm 내지 약 45 nm일 수 있다. 포토 마스크(34B)의 패터닝된 피처들의 폭들(W1B)은 약 20 nm 내지 약 55 nm일 수 있다. 피치(P1B)는 피치(P1A)보다, 약 5 nm 내지 약 20 nm 더 클 수 있다. 폭들(W1B)은 폭들(W1A)보다, 약 5 nm 내지 약 30 nm 더 클 수 있다. 포토 마스크(34A)의 비교적 더 작은 피치(P1A) 및 폭(W1A)은 후속 공정에서, 비교적 더 큰 피치(P1B) 및 폭(W1B)에 기반하여 형성된 금속 라인들보다 서로 더 가까운 금속 라인들을 형성하는 데 사용될 수 있다.
설명이 2 개의 상이한 폭 및 2 개의 상이한 피치를 포함하지만, 이하에 기술되는 공정들을 적용함으로써 임의의 수의 폭 및 피치가 사용될 수 있음을 이해해야 한다.
도 4a 및 도 4b에서, 포토 마스크(34A) 및 포토 마스크(34B)의 패턴들을 각각 맨드릴(32A) 및 맨드릴(32B)에 전사하고, 개구부들(352A) 및 개구부들(352B)을 맨드릴 층(32)에 연장하도록 에칭 공정이 수행된다. 맨드릴(32B)은 제 2 영역(100B)에 위치하고, 미세 피치(fine pitch)에 대응하고, 맨드릴(32A)은 제 1 영역(100A)에 위치하고, 더 미세한 피치에 대응한다. 맨드릴 층(32)에서, 하나 이상의 큰 맨드릴 피스들(32C)이 또한 에칭될 수 있다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(210)로서 예시된다. 남아 있는 포토 마스크(34)는 그 후, 예를 들어, 애싱 단계에서 제거된다. 결과적인 구조물이 도 4a 및 도 4b에 도시된다. 에칭은 도 2a, 도 2b, 및 도 2c와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 수행될 수 있다.
일부 실시예에서, 웨이퍼(100)를 베이킹하도록 베이킹 공정(baking process)이 수행될 수 있다. 베이킹 공정을 사용하는 실시예들에서, 베이킹 공정은 약 5 분 내지 약 20 분의 기간 동안 수행된다. 베이킹 온도는 약 250 ℃ 내지 약 500 ℃의 범위일 수 있다. 베이킹 공정은 에칭으로 인해 발생할 수 있는 맨드릴(32A, 32B, 및 32C)의 재료를 복원 또는 수리할 수 있다.
위에서 기술된 패터닝 공정이 제 1 패터닝 단계를 사용하여 맨드릴 층(32)에 일 방향으로 라인들 및 개구부들을 형성하고, 제 2 패터닝 단계를 사용하여 맨드릴 층(32)에 수직 방향으로 라인들 및 개구부들을 형성하지만, 이러한 단계들은 일부 실시예에서 조합될 수 있다는 것을 이해해야 한다. 이들은 역순으로도 수행될 수 있다. 일부 실시예에서, 맨드릴 층 위의 별도의 층(예를 들어, 포토 마스크(34)의 하부 층)이 먼저 각 방향으로 패터닝되어 결합된 패턴 마스크를 형성할 수 있고, 그 후 결합된 패턴 마스크를 사용하여 맨드릴 층을 에칭할 수 있다.
도 5a는 도 5b에 표시된 A-A 라인을 따른 수직 단면도이고, 도 5b는 도 5a에 표시된 B-B 라인을 따른 (평면도라기 보다는) 수평 단면도이다. 유사한 수평 단면도가 도 6b, 도 7b, 도 8b, 도 11b, 도 12b, 도 13b, 도 16b, 도 17b, 도 18b, 도 19b, 도 20b, 및 도 21b에서도 사용된다. 도 5a 및 도 5b에서, 스페이서 층(38)은 웨이퍼(100) 위에 형성된 블랭킷(blanket)이다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(215)로서 예시된다. 스페이서 층(38)의 재료는 하드 마스크(30)에 비해 높은 에칭 선택도를 갖도록 선택될 수 있다. 예를 들어, 스페이서 층(38)의 재료는 AlO, AlN, AlON, TaN, TiN, TiO, Si, SiO2, SiN, 및 기타 금속 및 금속 합금 중에서 선택될 수 있다.
또한 도 5a 및 도 5b에 도시된 바와 같이, 스페이서 층(38)은 컨포멀 층(conformal layer)으로서 형성되고, 스페이서 층(38)의 수평 부분들 및 수직 부분들의 두께는 서로에 근접하며, 예를 들어, 차이는 약 20 퍼센트보다 작다. 스페이서 층(38)은, 예를 들어, CVD 또는 ALD와 같은 컨포멀 증착 방법을 사용하여 증착될 수 있다. 일부 실시예에 따르면, 맨드릴(32A) 위의 스페이서 층(38)의 두께(T1A)는 약 50 Å 내지 약 250 Å의 범위 내에 있으며, 예를 들어, 약 100 Å 내지 약 200 Å이다. 맨드릴(32B) 위의 스페이서 층(38)의 두께(T1B)는 두께(T1A)와 동일한 두께이다. 제 1 영역(100A)에서의 피치들은 제 2 영역(100B)에서의 피치들과 상이하기 때문에, 스페이서 층(38)은 본원에 기술된 바와 같이, 두께(T1B)가 두께(T1A)보다 크도록 변경될 수 있다. 도 5b에 도시된 바와 같이, 스페이서 층(38)은 갭(38g)을 가로 질러 함께 합쳐질 수 있다. 다른 실시예들에서, 스페이서 층(38)은 갭(38g)을 가로 질러 합쳐지지 않을 수 있다(예컨대, 도 28c 참조).
도 6a 및 도 6b에서, 포토 마스크(142)는 웨이퍼(100) 위에 형성될 수 있고, 제 1 영역(100A)을 노출하지만, 제 2 영역(100B) 위에서는 남아 있도록 패터닝될 수 있다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(220)로서 예시된다. 일부 실시예에서, 포토 마스크(142)는 하부 층(138) 및 중간 층(140)을 포함하는 삼중 층 포토 마스크일 수 있다. 상부 층은 패터닝되어 중간 층(140)을 패터닝하는 데 사용되며, 그 후 중간 층(140)은 하부 층(138)을 패터닝하는 데 사용된다. 포토 마스크(142)를 형성하여 패터닝하는 데 사용되는 재료들 및 공정들은 도 1a 및 도 1b와 관련하여 위에서 논의된 것과 유사할 수 있으며, 반복되지는 않는다.
도 7a 및 도 7b에서, 제 1 영역(100A)에서 스페이서 층(38)의 두께(T1A)를 감소시키도록 트리밍 공정(trimming process)이 수행된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(225)로서 예시된다. 트리밍 공정은 실질적으로 균일한 방식으로 노출된 스페이서 층(38)의 재료를 등방성으로 공격하는 건식 에칭 또는 습식 에칭 공정을 사용하여 수행될 수 있다. 건식 에칭이 사용되는 경우, 스페이서 층(38)을 트리밍하기 위한 예시적인 에칭제들은 탄소-플루오로 기반 에칭제들(CxFy), NF3, SF6, Cl2, HBr 등과 같은 플루오린 반응성 가스(fluorine reactive gas)를 포함할 수 있다. 산소(O2), 질소(N2), 아르곤(Ar), 이들의 조합 등과 같은 다른 공정 가스들이 탄소-플루오로 기반 에칭제들과 함께 사용될 수 있다. 일 실시예에서, 스페이서 층(38)을 패터닝하는 데 사용되는 공정 가스들에는 수소가 없을 수 있다. 습식 에칭이 사용되는 경우, 스페이서 층(38)을 트리밍하기 위한 예시적인 에칭제들은 HF 용액 또는 다른 적합한 에칭제를 포함할 수 있다.
트리밍 공정은 스페이서 층(38)의 두께(T1A)에서 약 20 Å 내지 약 70 Å, 예를 들어, 약 25 Å 내지 약 35 Å을 제거할 수 있다. 결과적인 두께(T2A)는 약 25 Å 내지 약 250 Å, 예를 들어, 약 75 Å 내지 약 175 Å일 수 있다.
도 8a 및 도 8b에서, 포토 마스크(142)는 임의의 적합한 기술에 의해 제거될 수 있다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(230)로서 예시된다. 일부 실시예에서, 포토 마스크(142)는 세정 또는 에칭에 의해 제거될 수 있고, 다른 실시예들에서, 포토 마스크(142)를 제거하는 데 애싱 공정이 사용될 수 있다. 제 2 영역(100B)의 스페이서 층(38)은 두께(T1B)에서 변경되지 않는 두께(T2B)를 갖는다. 그러나, 제 1 영역(100A)의 스페이서 층(38)은 이제 두께(T2A)를 갖게 되며, 이 두께는 이전 두께(T1A)보다 얇으며, 또한 두께(T2B)보다도 얇다. 포토 마스크(142)가 스페이서 층(38) 위에 위치한 곳의 가장 자리에서, 제 1 영역(100A)에서의 스페이서 층(38)으로부터 트리밍된 양에 대응하는 T2B-T2A의 높이로 단차가 형성될 수 있다.
도 9a 및 도 9b 내지 도 12a 및 도 12b는 스페이서 층의 부분들 위에 회수 재료(recovery material) 또는 희생 재료를 형성하기 위한 선택적인 공정을 도시한 것이다. 이는 스페이서 층(38)의 부분들을 충전하는 라인 컷 공정(line cut process)으로서 수행될 수 있으며, 이는 궁극적으로 후속적으로 형성된 금속 라인들에서 라인 브레이크(line breaks)를 초래할 것이다. 제각기의 단계들은 도 26에 도시된 공정 흐름에서 단계들(260, 262, 264, 및 266)로서 예시된다. 이러한 동일한 공정들은, 예를 들어, 스페이서 층(38)이 스페이서들을 형성하도록 에칭되기 전 또는 후에, 본원에 기술된 임의의 실시예에서 사용될 수 있다.
단면도 및 평면도를 포함하는 도 9a 및 도 9b에서, 포토 마스크(152)가 웨이퍼(100) 위에 형성되고 패터닝된다. 포토 마스크(152)의 상부 층(150)(또는 중간 층)은 개구부들(153)을 형성하도록 패터닝되며, 개구부들(153)은 후속적으로 형성되는 금속 라인들의 컷 라인 구역들(cut line areas)에 대응하게 된다. 이 단계는 도 26에 도시된 공정 흐름에서 단계(260)로서 예시된다. 포토 마스크(152)는 도 1a 및 도 1b의 포토 마스크(34)와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 형성 및 패터닝될 수 있고, 이들은 반복되지는 않는다.
단면도 및 평면도를 포함하는 도 10a 및 도 10b에서, 개구부들(153)은 포토 마스크(152)의 하부 층(148) 내로 연장되어, 스페이서 층(38)을 노출한다. 개구부들(153)은 도 1a 및 도 1b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 연장될 수 있다. 일부 실시예에서, 스페이서 층(38)은 이미 스페이서들로 에칭될 수 있고, 일부 실시예에서, 예를 들어, 이 공정이 도 25의 단계(235) 또는 단계(240)로부터 후속하는 경우, 맨드릴(32A 및 32B)이 이미 제거될 수 있다. 도 10a 및 도 10b에 도시된 바와 같이, 개구부들(153)은 다수의 맨드릴(32A 및/또는 32B)에 걸쳐 있을 수 있다. 또한, 개구부들(153)은 x 방향으로 형성된 것으로 도시되어 있지만, 동일한 단계 또는 별도의 단계에서 수직 방향(y 방향)으로도 형성될 수 있음을 이해해야 한다. 포토 레지스트(152)의 상부 층(150)은 하부 층(148)을 패터닝하는 공정에서 제거될 수 있거나 별도의 에칭 공정에 의해 제거될 수 있다.
수직 단면도 및 수평 단면도를 포함하는 도 11a 및 도 11b에서, 희생 재료(154)(때때로 역전 재료(reverse material)라고 지칭되기도 함)가 하단 층(148) 위에 그리고 개구부들(153) 내로 증착된다. 이 단계는 도 26에 도시된 공정 흐름에서 단계(264)로서 예시된다. 일부 실시예에서, 희생 재료(154)는 또한 중간 층(150) 위에 증착된다. 다른 실시예들에서, 중간 층(150)은 희생 재료(154)를 증착하기 전에 제거된다. 다양한 실시예에서, 희생 재료(154)는 무기 재료를 포함한다. 예를 들어, 희생 재료(154)는 무기 산화물, 예를 들어, 티타늄 산화물, 탄탈륨 산화물, 실리콘 산화물 등일 수 있다. 일부 실시예에서, 무기 재료는 저온 산화물(LTO)이다. 본원에서 사용되는 용어 "LTO"는 비교적 낮은 공정 온도(예컨대, 200 ℃ 이하)를 사용하여 증착된 산화물을 지칭한다. 일부 실시예에서, 희생 재료(154)는 질화물, 예를 들어, 실리콘 질화물 또는 실리콘 옥시 질화물 등을 포함할 수 있다. 희생 재료(154)는 동일한 에칭 공정에 대해서 스페이서 층(38)에 대해 충분한 에칭 선택도를 갖도록 선택될 수 있다. 예를 들어, 동일한 에칭 공정에 대해서 스페이서 층(38)의 에칭 레이트에 대한 희생 재료(154)의 에칭 레이트의 비율은 일부 실시예에서 적어도 0.7이다.
희생 재료(154)는 반도체 막 증착 공정, 예를 들어, CVD, PVD, ALD 등을 사용하여 형성될 수 있다. 반도체 막 증착 공정은 개구부들(153)(도 10a 및 도 10b 참조)의 측벽들 및 하단 표면 상에 형성되는 컨포멀 공정일 수 있다. 증착이 지속됨에 따라, 개구부들(153)의 대향되는 측벽들 상의 희생 재료(154)의 부분들이 합쳐져 개구부들(153)을 충전할 수 있다. 반도체 막 증착 공정의 결과, 희생 재료(154)의 상단 표면은 도 11a 및 도 11b에 도시된 바와 같이 평면이 아닐 수 있다.
단면도 및 평면도를 포함하는 도 12a 및 도 12b에서, 에치백 공정에서 포토 마스크(152)가 제거되고 희생 재료(154)가 트리밍된다. 이 단계는 도 26에 도시된 공정 흐름에서 단계(266)로서 예시된다. 먼저, 개구부들(153) 외부의 희생 재료(154)의 과잉 부분들을 제거하도록 평탄화 공정(예컨대, 화학 기계적 연마(chemical mechanical polish)(CMP), 건식 에칭, 이들의 조합 등)이 수행된다. 희생 재료(154)가 중간 층(150) 위에 형성되는 실시예들에서, 평탄화 공정은 또한 중간 층(150)을 제거할 수 있다. 평탄화 공정 후, 하단 층(148)이 노출되고, 희생 재료(154) 및 하단 층(148)의 상단 표면들은 평평하고 동일 평면일 수 있다. 일부 실시예에서, 평탄화 공정은 또한 희생 재료(154) 내에 형성된 디봇들(divots)을 제거할 수 있다.
하단 층(148)은 다음에 애싱 공정을 사용하여 제거된다. 하단 층(148)이 제거된 후, 희생 재료(154)의 필러들은 유지된다. 남아 있는 희생 재료(154)는 스페이서 층(38)의 선택 영역들을 마스킹한다. 일부 실시예에서, 희생 재료(154)는 제 1 맨드릴(32A 또는 32B) 상의 스페이서 층(38)의 제 1 측벽 부분으로부터 제각기의 제 2 맨드릴(32A 또는 32B) 상의 스페이서 층(38)의 제 2 측벽 부분까지 걸쳐 있을 수 있다.
희생 재료(154)는 그 후 원하는 프로파일을 달성하기 위해 에치백 공정에서 트리밍될 수 있다. 일부 실시예에서, 희생 재료(154)를 트리밍하게 되면, 희생 재료(154)은 스페이서 층(38)의 최상단 표면 아래로, 예를 들어, 맨드릴(32A 및 32B)의 상단 표면 아래로 리세싱된다. 희생 재료(154)를 트리밍하게 되면, 맨드릴(124) 위의 스페이서 층(126)의 부분들이 노출될 수 있다. 일부 실시예에서, 희생 재료(154)를 트리밍하게 되면, 또한 적어도 단면 B-B(도 12b)에서 희생 재료(154)의 폭이 감소될 수 있다.
희생 재료(154)의 트리밍은 건식 에칭 공정 또는 건식 및 습식 에칭 공정의 조합을 포함할 수 있다. 희생 재료(154)를 트리밍하기 위한 실시예의 건식 에칭 공정들은 탄소-플루오로 기반 에칭제들(예컨대, CF4)을 사용하는 것을 포함할 수 있다. 산소(O2), 질소(N2), 아르곤(Ar), 이들의 조합 등과 같은 다른 공정 가스들이 탄소-플루오로 기반 에칭제들과 함께 사용될 수 있다. 희생 재료(154)를 트리밍하기 위한 실시예의 습식 에칭 공정들은 에칭제로서 희석된 불화 수소산(diluted hydrofluoric acid)을 사용하는 것을 포함할 수 있다. 예를 들어, 트리밍 공정의 농도 및 지속 시간을 제어함으로써 희생 재료(154)의 원하는 형상이 달성될 수 있다.
수직 단면도 및 수평 단면도를 각각 포함하는 도 13a 및 도 13b에서, 스페이서 층(38)의 수평 부분들을 제거하지만 스페이서 층(38)의 수직 부분들은 유지하도록 이방성 에칭이 수행된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(235)로서 예시된다. 스페이서 층(38)의 남아 있는 부분들은 이하 스페이서들(40A) 및 스페이서들(40B)로 지칭되며, 스페이서들(40A)은 제 1 영역(100A)에 위치하고 스페이서들(40B)은 제 2 영역(100B)에 위치한다. 일부 실시예에서, 스페이서 층(38)을 에칭하는 것은 CH4, Cl2, 이들의 조합 등과 같은 적합한 에칭제를 사용하는 건식 에칭 공정을 이용한다. 다른 공정 가스들, 예컨대, 질소(N2)는 건식 에칭 공정 동안 에칭제와 함께 사용될 수 있다. 건식 에칭 공정은 이방성일 수 있고, 스페이서 층(38)의 수직 부분들을 상당히 제거하지 않고도 스페이서 층(38)의 노출된 측방 부분들을 에칭할 수 있다.
도 13a에 도시된 바와 같이, 스페이서들(40A)은 맨드릴(32A)의 측벽들 상에 있고, 스페이서들(40B)은 맨드릴(32B)의 측벽들 상에 있다. 도 13b를 참조하면, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향의 길이 방향 부분들을 포함할 수 있으며, 다양한 형상을 형성할 수 있다. 일부 실시예에서, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향에 대해 0이 아닌 각도에서의 길이 방향 부분들을 포함할 수 있거나, 곡선 섹션, 둥근 섹션, 원형 섹션 등을 포함할 수 있다.
희생 재료(154)를 이용하는 실시예들에서, 스페이서들(41A 및 41B)은 각각 맨드릴(32A 및 32B)의 측벽들 상의 스페이서 층(38)의 일부와 스페이서 층(38)의 갭을 충전하는 희생 재료(154)의 일부를 포함한다. 결과적으로, 스페이서들(41A 및 41B)은 후속적으로 형성되는 금속 라인에서 라인 컷을 정의할 것이다.
도 14a 및 도 14b 내지 도 16a 및 도 16b는 하부에 있는 하드 마스크(30)를 패터닝하는 데 도움을 주는 데 사용하기 위해 맨드릴 층(32)의 부분들을 유지하기 위한 선택적인 유지 공정을 도시한 것이다. 유지 공정이 사용되지 않는 실시예들에서, 노출된 맨드릴(32A 및 32B)의 부분들은 에칭 공정을 사용하여 제거될 수 있다. 이 단계는 도 25에 도시된 공정 흐름(200)에서 단계(240)로서 예시된다. 선택적인 유지 공정은 도 27에 도시된 공정 흐름에서의 단계(240)로서 단계들(268, 270, 및 272)로 도시된 단계들을 포함한다. 이들 동일한 공정들은 맨드릴들을 제거하기 위해 본원에 기술된 임의의 실시예에서 사용될 수 있다.
단면도 및 평면도를 포함하는 도 14a 및 도 14b에서, 포토 마스크(162)가 웨이퍼(100) 위에 형성되고 패터닝된다. 포토 마스크(162)의 상부 층(160)(또는 중간 층)은 개구부들(163)을 형성하도록 패터닝되며, 개구부들(163)은 제거되어야만 하는 맨드릴(32)의 구역들에 대응한다. 유지 구역(164)과 같은 덮힌 채로 남겨진 구역들은 후속적으로 형성된 금속 라인들에서 추가적인 라인 컷들에 대응한다. 이 단계는 도 27에 도시된 공정 흐름에서 단계(268)로서 예시된다. 포토 마스크(162)는 도 1a 및 도 1b의 포토 마스크(34)와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 형성 및 패터닝될 수 있고, 이들은 반복되지는 않는다.
수직 단면도 및 수평 단면도를 포함하는 도 15a 및 도 15b에서, 개구부들(163)은 포토 마스크(162)의 하부 층(158) 내로 연장되어, 맨드릴 층(32)을 노출한다. 스페이서들(40A 및 40B)의 부분들도 또한 노출될 수 있다. 유지되는 맨드릴 층(32)의 구역들인 유지 구역들(164)은 포토 마스크(162)에 의해 계속해서 덮여 있게 된다. 개구부들(163)은 도 1a 및 도 1b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 연장될 수 있다. 유지 구역들(164)은 다수의 맨드릴(32A 및/또는 32B)에 걸쳐 있을 수 있고, 맨드릴 층(32C)의 부분들을 또한 덮을 수도 있다. 포토 레지스트(162)의 상부 층(160)은 하부 층(158)을 패터닝하는 공정에서 제거될 수 있거나 별도의 에칭 공정에 의해 제거될 수 있다.
단면도 및 평면도를 각각 포함하는 도 16a 및 도 16b에서, 맨드릴 층(32)은 노출된 맨드릴(32A, 32B, 및 32C)을 제거하기 위해 에칭 단계에서 선택적으로 에칭되어, 제 1 영역(100A)에 개구부들(43A)을 형성하고, 제 2 영역(100B)에 개구부들(43b)을 형성하고, 제 3 영역(100C)에 개구부(43C)를 형성한다. 이 단계는 도 27에 도시된 공정 흐름에서 단계(272)로서 예시된다. 일부 실시예에 따르면, 에칭은 습식 에칭 또는 건식 에칭을 사용하여 수행된다. 각각의 에칭제는 HF 용액 또는 NF3 및 NH3 가스들의 혼합물을 포함할 수 있고, 적합한 에칭제는 맨드릴 층(32)의 재료에 따라 달라진다. 유지 구역들(164)을 형성하기 위해 유지 공정을 사용하지 않는 실시예들에서, 웨이퍼(100)를 덮기 위해 임의의 포토 레지스트를 사용하지 않고도 에칭이 수행될 수 있다.
이 공정을 통해 여러 가지 상이한 스페이서 폭들을 달성할 수 있다. 스페이서들(40A)은 트리밍 공정 후의 두께(T2A)와 거의 동일한 폭을 갖는다. 스페이서들(40B)은 두께(T2B)와 거의 동일한 폭을 갖는다. 스페이서들(41A)은 스페이서들(40A)의 폭의 2 배의 폭과 맨드릴(32A) 사이의 폭을 더한 것을 포함한다. 스페이서들(41C)은 스페이서들(40A) 또는 스페이서들(40B)의 폭과 제 3 영역(100C)의 유지 구역들(164)의 폭을 더한 것을 포함한다. 스페이서들(41A')은 스페이서들(40A)과 유지 구역들(164)을 더한 것을 포함한다.
단면도 및 평면도를 각각 포함하는 도 17a 및 도 17b에서, 스페이서들(40A, 40B, 41A, 41A', 41B, 및 41C)은 함께 하드 마스크(30)를 에칭하기 위한 마스크로서 사용된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(245)로서 예시된다. 하드 마스크(30)는 제 1 영역(100A), 제 2 영역(100B), 및 제 3 영역(100C)의 각각에 패터닝된 하드 마스크(30)를 포함하도록 에칭된다. 하드 마스크(30)가 패터닝된 경우, 제 1 영역(100A)에 개구부들(44A)이 형성되고, 제 2 영역(100B)에 개구부들(44B)이 형성되고, 제 3 영역(100C)에 개구부들(44C)이 형성된다. 개구부들(44A), 개구부들(44B), 및 개구부들(44C)은 타겟 층(28) 내에 만들어질 개구부들에 대응한다. 개구부들(44A), 개구부들(44B), 및 개구부들(44C)은 타겟 층(28) 내의 개구부들 간의 간격에 대응한다. 스페이서들(40A 및 40B)에 대해 상이한 폭들이 사용될 수 있기 때문에, 후속적으로 형성되는 금속 라인들은 유연한 간격을 가질 수 있다.
도 17a 및 도 17b와 유사한 단면도 및 평면도를 각각 포함하는 도 18a 및 도 18b를 참조하면, 희생 재료(154)는 맨드릴(32C) 및 관련 유지 구역(164)에 인접한 다른 포지션에 도시되어 있다. 전술한 여러 기술들을 조합함으로써, 스페이서(41C)는 유지 구역(164), 스페이서들(40A), 및 희생 재료(154)를 포함할 수 있다.
단면도 및 평면도를 각각 포함하는 도 19a 및 도 19b를 참조하면, 일부 실시예에 따른 하드 마스크 층(30)은 3 개의 별개의 층인 것으로 도시되어 있다. 도 1a와 관련하여 위에서 논의된 바와 같이, 마스크(30A)는 NFARC일 수 있고, 마스크(30B)는 하드 마스크일 수 있으며, 마스크(30C)는 산화물 등일 수 있다. 하드 마스크(30)의 각 마스크 층은 제각기의 적합한 에칭제를 사용하여 개구부들(43A, 43B, 및 43C)을 연장하도록 차례로 에칭될 수 있다.
도 20a 및 도 20b를 참조하면, 마스크(30C)의 개구부들(43A, 43B, 및 43C)은 하부 층(30B)으로 전사된다. 적합한 에칭 공정과 같은 임의의 적합한 기술이 사용될 수 있다. 남아 있는 스페이서 층(38), 희생 재료(154), 및 맨드릴 층(30)은 또한 에칭, 그라인딩, 또는 CMP 공정에 의해 제거될 수 있다.
도 21a 및 도 21b를 참조하면, 마스크(30B)의 개구부들(43A, 43B, 및 43C)은 하부 층(30A)으로 전사된다. 적합한 에칭 공정과 같은 임의의 적합한 기술이 사용될 수 있다.
도 22a 및 도 22b는 도 17a 및 도 17b 이후 또는 도 21a 및 도 21b 이후의 공정을 도시한 것이다. 개구부들(44A, 44B, 및 44C)은 제거될 타겟 층(28)의 구역들을 정의한다.
도 23a 및 도 23b에서, 패터닝된 하드 마스크(30 또는 30A)는 타겟 층(28)을 에칭하기 위한 에칭 마스크로서 사용되어 트렌치들(46A) 및 트렌치들(46B)을 형성하게 된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(250)로서 예시된다. 일부 실시예에서, 타겟 층(28)을 에칭하기 전에, 제 3 영역(100C)을 보호하도록 마스크 층이 증착되어 패터닝될 수 있다. 타겟 층(28)을 정의하고 에칭하여 트렌치(46) 아래에 있는 비아 개구부들(48)을 형성하도록 추가 공정 단계들이 또한 수행될 수 있다. 에칭 정지 층(26)이 또한 에칭된다. 도전성 피처들(16)은 비아 개구부들(48)을 통해 노출된다. 다음, 패터닝된 하드 마스크(30 또는 30A)가 제거된다. 대안적으로, 패터닝된 하드 마스크(30 또는 30A)는 도 23a 및 도 23b에 도시된 바와 같이 금속 라인들(50) 및 비아들(52)을 형성하기 위한 후속 평탄화 동안 또는 후에 제거된다.
도 24a 및 도 24b에서 트렌치들(46) 및 비아 개구부들(48)은 도전성 재료(들)로 충전되어 금속 라인들(50) 및 비아들(52)을 형성하게 된다. 이 단계는 도 25에 도시된 공정 흐름에서 단계(255)로서 예시된다. 이 형성은 이중 다마신 공정(dual damascene process)을 사용할 수 있는데, 여기서 티타늄 질화물, 티타늄, 탄탈륨 질화물, 탄탈륨 등과 같은 도전성 장벽 층이 트렌치들(46) 및 비아 개구부들(48)의 측벽과 하단 상에 형성된다. 그 후, 트렌치들(46) 및 비아 개구부들(48)의 나머지 부분들은 도전성 재료로 충전되며, 이 도전성 재료는 구리 또는 구리 합금, 또는 다른 도전성 재료를 포함할 수 있다. 그 후, 장벽 층 및 충전 금속의 과잉 부분들을 제거하는 화학 기계적 연마(Chemical Mechanical Polish)(CMP)를 수행하여, 도 23a 및 도 23b에 도시된 바와 같이 금속 라인들(50) 및 비아들(52)을 형성하게 된다. 금속 라인들(50) 및 비아들(52)은 하부의 도전성 피처들(16)에 전기적으로 접속된다. CMP는 도 24a에 도시된 바와 같이 타겟 층(28) 상에서 정지될 수 있거나, 또는 패터닝된 하드 마스크(30A 및 30B)가 아직 제거되지 않은 경우 패터닝된 하드 마스크(30A 및 30B) 상에서 정지될 수 있다.
본 개시 내용의 대안적인 실시예들에 따르면, 타겟 층(28)은 반도체 기판이다. 따라서, 도 1a, 도 1b, 및 도 1c 내지 도 24a 및 도 24b에 도시된 공정 단계는 타겟 층(28)에 트렌치들을 형성하고 트렌치들을 유전체 재료로 충전하여 얕은 트렌치 격리(Shallow Trench Isolation)(STI) 영역들을 형성하는 데 사용될 수 있다.
도 24b는 타겟 층(28) 내에 형성된 금속 라인들(50)의 평면도를 도시한 것이다. 금속 라인들(50)은 2 개의 간격들(S1 및 S2)을 포함하고, 간격(S2)은 간격(S1)보다 크다. 간격(S2)은 스페이서들(40B)의 두께(T2B)(도 8a 참조)에 의해 결정된다. 유리하게는, 간격(S1)은 전술한 바와 같이 제 1 영역(100A)에서 스페이서 층(38)의 트리밍 양을 조정함으로써 조정될 수 있다. 따라서, 본 개시 내용의 실시예들은 상이한 값들을 갖도록 금속 라인들 간의 간격들을 조정하는 유연성을 갖는다.
도 1a, 도 1b, 및 도 1c 내지 도 25와 관련하여 위에서 논의된 공정에서, 스페이서 층(38)이 형성될 경우(도 5a 및 도 5b 참조), 스페이서 층(38)의 상대적인 두께로 인해, 스페이서 층(38)의 수직 레그들이 제 1 영역(100A)에서 함께 합쳐질 수 있다는 위험이 존재한다. 또한, 스페이서 층(38)의 사이즈 및 간격으로 인해, 트리밍 공정이 수행될 경우(도 7a 및 도 7b 참조), 스페이서 층(38)은 90도 각도에서 호닝 효과(horning effect)를 겪을 수 있으며, 여기서 스페이서 층(38)은 코너에서 뿔 형상(horn shape)을 형성하게 된다. 이러한 뿔 형상은 후속 패터닝 단계들 및 금속 라인들(50)의 형성에서 결함을 유발할 수 있다.
호닝은 다른 실시예들에서 감소되거나 제거될 수 있다. 도 28a 및 도 28b 내지 도 36은 본 개시 내용의 일부 실시예에 따른 금속 라인들과 같은 피처들의 형성에서의 중간 스테이지들의 단면도를 도시한 것이다. 도 28a 및 도 28b 내지 도 36의 공정들은 호닝 효과를 감소시키거나 제거한다. 달리 명시되지 않는 한, 이들 실시예들에서의 컴포넌트들의 재료들 및 형성 방법들은 본질적으로 도 1a, 도 1b, 및 도 1c 내지 도 25에 도시된 실시예들에서 유사한 참조 번호들로 표시된 유사한 컴포넌트들과 동일하다. 따라서, 도 28a 및 도 28b 내지 도 36에 도시된 유사한 컴포넌트들의 형성 공정 및 재료들에 관한 세부 사항은 도 1a, 도 1b, 및 도 1c 내지 도 24a 및 도 24b에 도시된 실시예들의 논의에서 찾을 수 있다.
도 28a는 도 28b에 표시된 A-A 라인을 따른 수직 단면도이고, 도 28b는 도 28a에 표시된 B-B 라인을 따른 (평면도라기 보다는) 수평 단면도이다. 도 28c는 스페이서 층(238)이 갭(238g)에서 합쳐지지 않는 것을 제외하고는 도 28b의 예시와 유사하다. 유사한 수평 단면도가 또한 도 29b, 도 30b, 도 31b, 및 도 32b에서도 사용된다. 도 28a 및 도 28b는 맨드릴(32A 및 32B) 위에 스페이서 층(38)을 증착한 후의 도 5a 및 도 5b의 웨이퍼(100)를 도시한 것이다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(315)로서 예시된다. 따라서, 단계(305)는 도 25의 단계(205)와 실질적으로 동일하고, 단계(310)는 단계(210)와 실질적으로 동일하다. 따라서, 기판(10), 디바이스들(12), 유전체 층(14), 도전성 피처들(16), 에칭 정지 층(26), 타겟 층(28), 하드 마스크(30), 및 맨드릴(32A 및 32B)은 도 1a, 도 1b, 및 도 1c 및 도 2a, 도 2b, 및 도 2c에 도시된 것과 유사한 피처들을 지칭하며, 따라서 여기서는 반복되지 않는다.
스페이서 층(238)은 도 5a 및 도 5b의 스페이서 층(38)과 유사한 공정들 및 재료들 사용하여 형성될 수 있지만, 제외되는 것은 스페이서 층(38)만큼 두껍게 증착되지 않는다는 것이다. 두껍게 증착되지 않기 때문에, 원치 않는 합쳐짐 및 호닝 효과의 위험이 감소되거나 제거된다. 일부 실시예에 따르면, 맨드릴(32A) 위의 스페이서 층(238)의 두께(T3A)는 약 30 Å 내지 약 200 Å의 범위 내에 있으며, 예를 들어, 약 70 Å 내지 140 Å이다. 맨드릴(32B) 위의 스페이서 층(238)의 두께(T3B)는 두께(T3A)와 동일한 두께이다.
도 29a 및 도 29b에서, 포토 마스크(142)는 웨이퍼(100) 위에 형성될 수 있고, 제 1 영역(100A)을 노출하지만, 제 2 영역(100B)은 유지하도록 패터닝될 수 있다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(320)로서 예시된다. 포토 마스크(142)는 도 6a 및 도 6b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제조될 수 있고, 이들은 반복되지는 않는다.
도 30a 및 도 30b에서, 제 1 영역(100A)에서 스페이서 층(38)의 두께(T3A)를 감소시키도록 트리밍 공정이 수행된다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(325)로서 예시된다. 트리밍 공정은 도 7a 및 도 7b와 관련하여 위에서 논의된 것과 같은 건식 에칭 또는 습식 에칭 공정을 사용하여 수행될 수 있다. 트리밍 공정은 스페이서 층(38)의 두께(T3A)에서 약 20 Å 내지 약 70 Å, 예를 들어, 약 25 Å 내지 약 35 Å을 제거할 수 있다. 결과적인 두께(T4A)는 약 25 Å 내지 약 130 Å, 예를 들어, 약 40 Å 내지 약 100 Å일 수 있다.
도 31a 및 도 31b에서, 포토 마스크(142)는 도 8a 및 도 8b와 관련하여 위에서 논의된 것과 같은 임의의 적합한 기술에 의해 제거될 수 있다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(330)로서 예시된다. 제 2 영역(100B)의 스페이서 층(238)은 두께(T3B)에서 변경되지 않는 두께(T4B)를 갖는다. 그러나, 제 1 영역(100A)의 스페이서 층(238)은 이제 두께(T4A)를 갖게 되며, 이 두께는 이전 두께(T3A)보다 얇으며, 또한 두께(T4B)보다도 얇다. 포토 마스크(142)가 스페이서 층(38) 위에 위치한 곳의 가장 자리에서, 제 1 영역(100A)에서의 스페이서 층(238)으로부터 트리밍된 양에 대응하는 T4B-T4A의 높이로 단차가 형성될 수 있다.
도 32a 및 도 32b에서, 제 2 스페이서 층(239)은 도 5a 및 도 5b의 스페이서 층(38)과 유사한 공정들 및 재료들을 사용하여 형성될 수 있다. 도 32c 및 도 32d는 도 32b와 유사하지만, 제외되는 것은 스페이서 층(238)이 합쳐지지 않는다는 것이다(도 28c 참조). 이 단계는 도 36에 도시된 공정 흐름에서 단계(333)로서 예시된다. 제 2 스페이서 층(239)의 두께는 약 20 Å 내지 100 Å, 예를 들어, 약 50 Å 내지 80 Å일 수 있다. 스페이서 층(238)이 제 1 영역(100A)에서 트리밍되었기 때문에, 결합된 스페이서 층(242)의 총 두께는 제 1 영역(100A) 대 제 2 영역(100B)에서 상이하다. 일부 실시예에 따르면, 맨드릴(32A) 위의 결합된 스페이서 층(242)의 두께(T5A)는 약 50 Å 내지 약 200 Å의 범위 내에 있으며, 예를 들어, 약 100 Å 내지 약 170 Å이다. 맨드릴(32B) 위의 결합된 스페이서 층(242)의 두께(T5B)는 약 120 Å 내지 약 280 Å, 예를 들어, 약 150 Å 내지 약 200 Å이다.
일부 실시예에서, 제 2 스페이서 층(239)은 제 1 스페이서 층(238)을 형성하는 데 사용되는 바와 같은 재료들 및 공정들을 사용하여 형성될 수 있다. 이러한 실시예들에서, 제 2 스페이서 층(239)이 스페이서 층(238)과 동일한 재료로 형성될 수 있더라도, 스페이서 층(238)과 제 2 스페이서 층(239) 사이의 계면이 관찰될 수 있다. 일부 실시예에서, 스페이서 층(238) 및 제 2 스페이서 층(239)의 재료는 가시적인 계면이 관찰되지 않도록 형성될 수 있다. 다른 실시예들에서, 제 2 스페이서 층(239)을 형성하는 데 상이한 재료들 및/또는 공정들이 사용될 수 있으며, 이 경우 스페이서 층(238)과 제 2 스페이서 층(239) 사이에 계면이 유지된다.
스페이서 층을 에칭하기 전에, 희생 재료(154)를 형성하기 위해 도 9a 및 도 9b 내지 도 12a 및 도 12b와 관련하여 전술한 공정이 수행될 수 있다.
도 32c에서, 일부 실시예에 따라, 제 2 스페이서 층(239)이 증착될 경우, 이는 또한 갭(239g)을 가로 질러 합쳐지지는 않는다. 도 32d에서, 다른 실시예들에 따라, 제 2 스페이서 층(239)이 증착될 경우, 갭(239g)을 가로 질러 합쳐진다. 기술자는 그러한 실시예들에 따라 다른 도면들이 수정될 수 있다는 것을 이해해야 한다.
단면도 및 평면도를 각각 포함하는 도 33a 및 도 33b에서, 결합된 스페이서 층(242)의 수평 부분들을 제거하지만 결합된 스페이서 층(242)의 수직 부분들은 유지하도록 이방성 에칭이 수행된다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(335)로서 예시된다. 결합된 스페이서 층(242)의 남아 있는 부분들은 이하 스페이서들(40A) 및 스페이서들(40B)로 지칭되며, 스페이서들(40A)은 제 1 영역(100A)에 위치하고 스페이서들(40B)은 제 2 영역(100B)에 위치한다. 결합된 스페이서 층(242)을 에칭하기 위한 공정은 도 13a 및 도 13b의 스페이서 층(38)의 에칭과 유사한 재료들 및 공정들을 사용할 수 있으며, 이들은 반복되지 않는다.
도 33a에 도시된 바와 같이, 스페이서들(40A)은 맨드릴(32A)의 측벽들 상에 있고, 스페이서들(40B)은 맨드릴(32B)의 측벽들 상에 있다. 도 33b를 참조하면, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향-의 길이 방향 부분들을 포함할 수 있으며, 다양한 형상을 형성할 수 있다. 일부 실시예에서, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향에 대해 0이 아닌 각도에서의 길이 방향 부분들을 포함할 수 있거나, 곡선 섹션, 둥근 섹션, 원형 섹션 등을 포함할 수 있다.
도 34a 및 도 34b는 유지 구역들(164)을 갖는 마스크(158/162)의 형성을 도시한 것이다. 마스크(158/162)의 형성은 도 14a 및 도 14b 내지 도 16a 및 도 16b에 대해 위에서 논의된 것과 유사하며, 세부 사항은 반복되지 않는다. 예시된 실시예에서, 도 34b는 유지 구역(164)이 제 2 영역(100B)에 있음을 예시한 것이다. 마스크(158/162)는 제거되지 않는 맨드릴 층(32)의 구역들을 보호하기 위해 사용된다.
단면도 및 평면도를 각각 포함하는 도 35a 및 도 35b에서, 맨드릴(32A 및 32B)은 맨드릴(32A 및 32B)을 제거하는 마스크(158/162)를 통한 에칭 단계에서 선택적으로 에칭되어, 스페이서들(40A) 사이에 개구부들(43A)을 형성하고, 스페이서들(40B) 사이에 개구부들(43B)을 형성한다. 이 단계는 도 36에 도시된 공정 흐름에서 단계(340)로서 예시된다. 맨드릴(32A 및 32B)은 도 16a 및 도 16b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제거될 수 있고, 이들은 반복되지는 않는다. 스페이서들(40A)은 두께(T5A)와 거의 동일한 폭을 갖는다. 스페이서들(40B)은 두께(T5B)와 거의 동일한 폭을 갖는다.
도 36의 공정 흐름의 단계(345)에서 참조된 바와 같이 스페이서들(40A 및 40B)을 사용하여 하드 마스크(30)를 에칭하는 공정은 도 17a 및 도 17b 내지 도 22a 및 도 22b와 관련하여 위에서 기술된 바와 같이, 하드 마스크(30)를 에칭하는 공정과 동일할 수 있으며, 이는 반복되지 않는다.
도 36의 공정 흐름의 단계(350)에서 참조된 바와 같이 하드 마스크(30)를 사용하여 타겟 층(28)을 에칭하는 공정은 도 23a 및 도 23b와 관련하여 위에서 기술된 바와 같이, 타겟 층(28)을 에칭하는 공정과 동일할 수 있으며, 이는 반복되지 않는다.
도 36의 공정 흐름의 단계(355)에서 참조된 바와 같이 금속 라인들(50) 및 비아들(52)을 형성하는 공정은 도 24a 및 도 24b에 대해 위에서 기술된 바와 같이, 금속 라인들(50 및 52)을 형성하는 공정과 동일할 수 있으며, 이는 반복되지 않는다. 따라서, 도 24b와 관련하여 전술한 것과 유사하게, 형성된 금속 라인들, 예를 들어, 금속 라인들(50)은 2 개의 간격들(S1 및 S2)(도 24a 참조)을 포함하고, 간격(S2)은 간격(S1)보다 크다. 간격(S2)은 스페이서들(40B)의 두께(T5B)(도 35a 참조)에 의해 결정된다. 유리하게는, 간격(S1)은 위에서 논의된 바와 같이, 제 1 영역(100A)에서 스페이서 층(238)의 트리밍 양을 조정하고 그 후 스페이서 층(238) 위에 제 2 스페이서 층을 후속적으로 증착시킴으로써 조정될 수 있다. 따라서, 본 개시 내용의 실시예들은 상이한 값들을 갖도록 금속 라인들 간의 간격들을 조정하는 유연성을 갖는다.
도 1a, 도 1b 및 도 1c 내지 도 27과 관련하여 그리고 도 28a 및 도 28b 내지 도 36과 관련하여 위에서 논의된 공정에서, 각각의 경우 스페이서 층(38)은 웨이퍼(100)의 상이한 구역들에서 상이한 두께의 스페이서 층(38)을 달성하도록 트리밍된다. 나머지 도면들은 웨이퍼(100)의 선택 영역들에서 스페이서 층 위에 패드 층이 사용되는 다른 실시예들을 기술한다. 또한, 본원에 기술된 실시예들의 모든 흐름에 적용될 수 있는 선택적 라인 컷 공정들이 기술된다. 라인 컷 공정들은 추가 패드 재료를 사용하여 스페이서 패턴을 변경함으로써 금속 라인 컷들(metal line cuts)을 생성할 수 있다. 또한, 본원에 기술된 실시예들의 모든 흐름에 적용될 수 있는 제 3 영역(100C)의 선택적 패턴 로딩이 기술된다(마찬가지로, 제 3 영역(100C)의 선택적 패턴 로딩은 위의 도면들에 대해 기술된 바와 같이 생략될 수 있다).
도 37a 및 도 37b 내지 도 48은 본 개시 내용의 일부 실시예에 따른 금속 라인들과 같은 피처들의 형성에서의 중간 스테이지들의 단면도를 도시한 것이다. 달리 명시되지 않는 한, 이들 실시예들에서의 컴포넌트들의 재료들 및 형성 방법들은 본질적으로 도 1a, 도 1b, 및 도 1c 내지 도 27에 도시된 실시예들에서 유사한 참조 번호들로 표시된 유사한 컴포넌트들과 동일하다. 따라서, 도 37a 및 도 37b 내지 도 48에 도시된 유사한 컴포넌트들의 형성 공정 및 재료들에 관한 세부 사항은 도 1a, 도 1b, 및 도 1c 내지 도 27에 도시된 실시예들의 논의에서 찾을 수 있다.
도 37a는 도 37b에 표시된 A-A 라인을 따른 수직 단면도이고, 도 37b는 도 37a의 웨이퍼(100)의 평면도이다. 도 37a 및 도 37b는 도 1a, 도 1b, 및 도 1c에 도시된 것과 유사한 구조물을 도시한 것이다. 따라서, 기판(10), 디바이스들(12), 유전체 층(14), 도전성 피처들(16), 에칭 정지 층(26), 타겟 층(28), 및 하드 마스크(30)는 도 1a, 도 1b, 및 도 1c에 도시된 것들과 유사한 피처들을 지칭하며, 반복되지는 않는다.
도 37a 및 도 37b는 또한 하나 이상의 포토리소그래피 공정을 도시한 것이다. 제 1 포토리소그래피 공정이 수행된 다음, 맨드릴 층(32)이 패터닝되어, 도 1a, 도 1b, 도 1c, 도 2a, 도 2b, 및 도 2c에 대해 위에 예시된 바와 같이 제 1 방향으로 개구부들을 형성할 수 있다. 이 단계들은 도 48에 도시된 공정 흐름(400)에서 단계들(405 및 410)로서 예시된다. 제 1 포토리소그래피 공정 이후에, 제 2 방향으로 개구부들을 형성하도록 제 2 포토리소그래피 공정이 수행될 수 있다. 포토 마스크(34)는 도 3a 및 도 3b의 포토 마스크와 유사한 공정들 및 재료들을 사용하여 형성 및 패터닝될 수 있다. 포토 마스크(34)가 도포되고, 예를 들어, 포토 리소그래피 공정에서, 제 1 영역(100A)의 포토 마스크(34A)로, 제 2 영역(100B)의 포토 마스크(34B)로, 그리고 제 3 영역(100C)의 마스크(34C)로 패터닝된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(405)로서 예시된다. 제 1 영역(100A)의 개구부들(35A) 및 제 2 영역(100B)의 개구부들(35B)이 포토 마스크(34) 내에 형성된다. 본 개시 내용의 일부 실시예에 따르면, 개구부들(35)은 서로 평행한 스트립들의 평면 형상을 갖는다. 금속 스트립들이 형성되지 않는 제 3 영역(100C)의 포토 마스크(34C)는 패턴 로딩 효과를 제어하는 데 사용될 수 있다. 포토 마스크(34C)는 또한 도 28a 및 도 28b 내지 도 36과 관련하여 위에서 논의된 실시예들에서도 사용될 수 있다.
포토 마스크(34A) 및 포토 마스크(34B)의 패터닝된 피처들, 예컨대, 스트립들은 2 개의 상이한 폭 및 2 개의 상이한 피치로 형성되며, 폭 및 피치는 도 1a 및 도 1b와 관련하여 위에서 논의된 것과 유사하다.
도 38a 및 도 38b에서, 포토 마스크(34A) 및 포토 마스크(34B)의 패턴들을 맨드릴 층(32)에 전사하여 개구부들(35A) 및 개구부들(35B)을 맨드릴 층(32)에 연장함으로써 맨드릴(32A) 및 맨드릴(32B)을 각각 형성하도록 에칭 공정이 수행된다. 맨드릴(32B)은 제 2 영역(100B)에 위치하고, 미세 피치에 대응하고, 맨드릴(32A)은 제 1 영역(100A)에 위치하고, 더 미세한 피치에 대응한다. 또한, 제 3 영역(100C)에는 하드 마스크(32C)가 형성된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(410)로서 예시된다. 남아 있는 포토 마스크(34)는 그 후, 예를 들어, 애싱 단계에서 제거된다. 결과적인 구조물이 도 38a 및 도 38b에 도시된다. 에칭은 도 4a 및 도 4b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 수행될 수 있고, 이들은 반복되지는 않는다. 일부 실시예에서, 트리밍 공정은 맨드릴 층(32)을 에칭하기 전에 사용될 수 있고 베이킹 공정은 맨드릴 층(32)을 에칭한 후에 사용될 수 있으며, 이는 도 4a 및 도 4b와 관련하여 위에서 논의된 것과 유사하다.
도 39a는 도 39b에 표시된 A-A 라인을 따른 수직 단면도이고, 도 39b는 도 39a에 표시된 B-B 라인을 따른 (평면도라기 보다는) 수평 단면도이다. 유사한 수평 단면도가 또한 도 40b, 도 41b, 도 42b, 도 43b, 및 도 44b에서도 사용된다. 도 39a 및 도 39b는 맨드릴(32A 및 32B) 및 하드 마스크(32C) 위에 스페이서 층(38)을 증착한 후의 도 38a 및 도 38b의 웨이퍼(100)를 도시한 것이다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(415)로서 예시된다.
스페이서 층(38)은 도 5a 및 도 5b의 스페이서 층(38)과 유사한 공정들 및 재료들 사용하여 형성될 수 있지만, 제외되는 것은 도 5a 및 도 5b의 스페이서 층(38)만큼 두껍게 증착되지 않는다는 것이다. 두껍게 증착되지 않기 때문에, 원치 않는 합쳐짐 및 호닝 효과의 위험이 감소되거나 제거된다. 일부 실시예에 따르면, 맨드릴(32A) 위의 스페이서 층(38)의 두께(T6A)는 약 50 Å 내지 약 250 Å의 범위 내에 있으며, 예를 들어, 약 100 Å 내지 175 Å이다. 맨드릴(32B) 위의 스페이서 층(38)의 두께(T6B) 및 하드 마스크(32C) 위의 두께(T6C)는 두께(T6A)와 동일한 두께이다.
도 40a 및 도 40b에서, 포토 마스크(142)는 웨이퍼(100) 위에 형성될 수 있고, 제 2 영역(100B)을 노출하지만, 제 1 영역(100A) 위에서는 남아 있도록 패터닝될 수 있다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(420)로서 예시된다. 포토 마스크(142)는 도 6a 및 도 6b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제조될 수 있고, 이들은 반복되지는 않는다.
도 41a 및 도 41b에서, 패드 층(144)은 포토 마스크(142) 및 스페이서 층(38) 위에 증착된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(425)로서 예시된다. 패드 층은 또한 스페이서 층으로도 지칭될 수 있다. 일부 실시예에서, 패드 층(144)이 하단 층(138)의 최상부 표면 상에 직접 형성되도록 패드 층(144)을 증착하기 전에 중간 층(140)이 제거될 수 있다. 패드 층(144)은 무기 재료를 포함할 수 있다. 예를 들어, 패드 층(144)은 무기 산화물, 예를 들어, 티타늄 산화물, 탄탈륨 산화물, 실리콘 산화물 등일 수 있다. 일부 실시예에서, 무기 재료는 저온 산화물(LTO)이다. 일부 실시예에서, 패드 층(144)은 질화물, 예를 들어, 실리콘 질화물 또는 실리콘 옥시 질화물 등을 포함할 수 있다. 패드 층(144)은 동일한 에칭 공정에 대해서 스페이서 층(38)에 대해 충분한 에칭 선택도를 갖도록 선택될 수 있다. 예를 들어, 동일한 에칭 공정에 대해서 스페이서 층(38)의 에칭 레이트에 대한 패드 층(144)의 에칭 레이트의 비율은 일부 실시예에서 적어도 0.7이다.
패드 층(144)은 반도체 막 증착 공정, 예를 들어, CVD, PVD, ALD 등을 사용하여 형성될 수 있다. 반도체 막 증착 공정은 개구부들(35B)의 측벽들 및 하단 표면 상에 형성되는 컨포멀 공정일 수 있다. 패드 층(144)은 약 10 Å 내지 약 100 Å의 두께(T7B)로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다.
도 42a 및 도 42b에서, 하단 층(138)은 애싱 공정 또는 습식 에칭 공정을 사용하여 제거된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(430)로서 예시된다. 하단 층(138)이 제거될 경우, 하단 층(138) 위의 패드 층(144)이 또한 제거된다. 패드 층(144)은 제 2 영역(100B)에서 스페이서 층(38) 위에 남아 있다. 일부 실시예에서, 패드 층(144)의 일부만이 개구부들(35B)의 측벽(및 일부 실시예에서 하단 표면) 상에 남아 있다. 패드 층(144)의 나머지 부분은 제 2 영역(100B)에서 스페이서 층(38)의 폭을 패딩(pad)하는 데 사용된다.
또한 도 42a 및 도 42b에는 제 3 영역(100C) 위에 남아 있는 잔류물(146)이 도시되어 있다. 잔류물(146)은 포토 마스크(142) 및/또는 패드 층(144)을 제거하는 것으로부터 발생할 수 있다. 세정 공정만이 패드 층(144)을 손상시킬 수 있으므로, 잔류물(146)을 세정하도록 제 1 영역(100A) 및 제 2 영역(100B)이 마스킹될 수 있다. 일부 실시예에서, 별도의 세정 공정이 수행될 필요가 없고, 잔류물(146)은 계속되는 단계들의 공정에서 세정될 수 있다. 특히, 도 9a 및 도 9b 내지 도 12a 및 도 12b에 대해 기술된 바와 같이 희생 재료(154)가 형성되는 경우, 도 9a 및 도 9b 내지 도 11a 및 도 11b의 포토 마스크(152)가 사용될 수 있기 때문에, 도 43a 및 도 43b에 대해 기술된 것과 같이 세정을 위해 별도의 포토 마스크(152)가 필요하지 않다.
잔류물 제거 공정이 수행되면, 도 43a 및 도 43b에서 포토 마스크(152)가 웨이퍼(100) 위에 형성되고 제 3 영역(100C) 및 잔류물(146)을 노출하도록 패터닝된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(435)로서 예시된다. 포토 마스크(152)는 도 6a 및 도 6b의 포토 마스크(142)와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 형성 및 패터닝될 수 있고, 이들은 반복되지는 않는다.
도 44a 및 도 44b에서, 에치백 공정은 잔류물(146)을 제거하는 데 사용될 수 있다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(440)로서 예시된다. 일부 실시예에서, 에치백 공정은 건식(예컨대, 플라즈마) 에칭 공정, 습식 에칭 공정, 또는 이들의 조합을 이용할 수 있다. 플라즈마 에칭 공정은 플루오로카본(CxFy) 가스, 클로로플루오로카본(CxClyFz) 가스, 탄소 염화물(CxCly) 가스 등 또는 이들의 조합을 사용하는 것을 포함할 수 있다. 습식 에칭 공정은 표준 세정-1 (SC1), 표준 세정-2 (SC2), 황산-과산화수소 혼합물(SPM), 희석된 불화 수소(dHF) 산, 과산화수소(H2O2), 완충 산화물 에칭(buffered oxide etch)(BOE) 용액, 염산(HCl) 등, 또는 이들의 조합 중 하나 이상의 용액을 사용하는 것을 포함할 수 있다. 용액의 온도는 약 20 °C 내지 약 90 °C의 범위일 수 있고, 용액 내에서의 기판의 침지 지속 시간은 약 10 초 내지 약 120 초의 범위일 수 있다. 일부 실시예에서, 에치백 공정은 (도 44a 및 도 44b에 도시된 바와 같이) 중간 층(150) 및/또는 포토 마스크(152)의 하부 층(148)을 제거할 수 있다. 일부 실시예에서, 애싱 공정 및/또는 하나 이상의 세정 공정은 하부 층(148)을 제거하는 데 사용될 수 있다.
스페이서 층(38) 및 결합된 스페이서 층(244)을 에칭하기 전에 희생 재료(154)를 형성하기 위해 도 9a 및 도 9b 내지 도 12a 및 도 12b와 관련하여 전술한 공정이 수행될 수 있다.
단면도 및 평면도를 각각 포함하는 도 45a 및 도 45b에서, 제 1 영역(100A)에서의 스페이서 층(38)의 수평 부분들, 및 제 2 영역(100B)에서의 결합된 스페이서 층(38) 및 패드 층(144)(함께, 결합된 스페이서 층(244))을 제거하지만, 스페이서 층(38) 및 결합된 스페이서 층(244)의 수직 부분들은 남아 있도록 이방성 에칭이 수행된다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(445)로서 예시된다. 이후, 제 1 영역(100A)에서의 스페이서 층(38)의 나머지 부분들은 스페이서들(40A)이라고 지칭되며, 제 2 영역(100B)에서의 결합된 스페이서 층(244)의 나머지 부분들은 스페이서들(40B)이라고 지칭된다. 결합된 스페이서 층(242)을 에칭하기 위한 공정은 도 13a 및 도 13b의 스페이서 층(38)의 에칭과 유사한 재료들 및 공정들을 사용할 수 있으며, 이들은 반복되지 않는다.
도 45a에 도시된 바와 같이, 스페이서들(40A)은 맨드릴(32A)의 측벽들 상에 있고, 스페이서들(40B)은 맨드릴(32B)의 측벽들 상에 있다. 일부 실시예에서, 하드 마스크(32C)는 또한 그의 측벽들 상에 형성된 스페이서들(40A) 및 스페이서들(40B)을 가질 수 있다. 도 45b를 참조하면, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향-의 길이 방향 부분들을 포함할 수 있으며, 다양한 형상을 형성할 수 있다. 일부 실시예에서, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향에 대해 0이 아닌 각도에서의 길이 방향 부분들을 포함할 수 있거나, 곡선 섹션, 둥근 섹션, 원형 섹션 등을 포함할 수 있다.
도 46a 및 도 46b는 유지 구역들(164)을 갖는 마스크(158/162)의 형성을 도시한 것이다. 마스크(158/162)의 형성은 도 14a 및 도 14b 내지 도 16a 및 도 16b에 대해 위에서 논의된 것과 유사하며, 세부 사항은 반복되지 않는다. 예시된 실시예에서, 도 46b는 유지 구역(164)이 제 2 영역(100B)에 있음을 예시한 것이다. 마스크(158/162)는 제거되지 않는 맨드릴 층(32)의 구역들을 보호하기 위해 사용된다.
단면도 및 평면도를 각각 포함하는 도 47a 및 도 47b에서, 맨드릴(32A 및 32B)은 맨드릴(32A 및 32B)을 제거하는 마스크(158/162)를 통한 에칭 단계에서 선택적으로 에칭되어, 스페이서들(40A) 사이에 개구부들(43A)을 형성하고, 스페이서들(40B) 사이에 개구부들(43B)을 형성한다. 일부 실시예에서, 하드 마스크(32C)가 또한 제거되어 제 3 영역(100C)에서 스페이서들(40A)과 스페이서들(40B) 사이에 개구부들(43C)(괄호로 표시됨)을 형성한다. 이 단계는 도 48에 도시된 공정 흐름(400)에서 단계(450)로서 예시된다. 맨드릴(32A 및 32B) 및 하드 마스크(32C)는 도 16a 및 도 16b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제거될 수 있고, 이들은 반복되지는 않는다. 스페이서들(40A)은 두께(T6A)와 거의 동일한 폭(T8A)을 갖는다. 스페이서들(40B)은 두께(T6B + T7B)(도 45a 및 도 45b와 관련하여 기술된 스페이서 에칭 공정으로부터 약 0 Å 내지 20 Å의 손실을 뺀 것)와 거의 동일한 폭(T8B)을 갖는다.
도 48의 나머지 공정들은 도 25와 관련하여 기술된 유사한 공정들과 유사하고, 유사한 공정들 및 재료들을 사용하여 수행될 수 있으며, 따라서 반복되지는 않는다. 예를 들어, 도 48에 도시된 공정 흐름(400)에서 단계(455)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(245)로 예시된 단계와 유사하며; 도 48에 도시된 공정 흐름(400)에서 단계(460)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(250)로 예시된 단계와 유사하며; 그리고 도 48에 도시된 공정 흐름(400)에서 단계(465)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(255)로 예시된 단계와 유사하다. 따라서, 도 24a 및 도 24b와 관련하여 전술한 것과 유사하게, 형성된 금속 라인들, 예를 들어, 금속 라인들(50)은 2 개의 간격들(S1 및 S2)을 포함하고, 간격(S2)은 간격(S1)보다 크다.
도 49a 및 도 49b 내지 도 55는 본 개시 내용의 일부 실시예에 따른 금속 라인들과 같은 피처들의 형성에서의 중간 스테이지들의 단면도를 도시한 것이다. 달리 명시되지 않는 한, 이들 실시예들에서의 컴포넌트들의 재료들 및 형성 방법들은 본질적으로 도 1a, 도 1b, 및 도 1c 내지 도 27에 도시된 실시예들에서 유사한 참조 번호들로 표시된 유사한 컴포넌트들과 동일하다. 따라서, 도 49a 및 도 49b 내지 도 55에 도시된 유사한 컴포넌트들의 형성 공정 및 재료들에 관한 세부 사항은 도 1a, 도 1b, 및 도 1c 내지 도 27에 도시된 실시예들의 논의에서 찾을 수 있다.
도 49a는 도 49b에 표시된 A-A 라인을 따른 수직 단면도이고, 도 49b는 도 49a에 표시된 B-B 라인을 따른 수평 단면도이다. 유사한 수평 단면도가 또한 도 50b 및 도 51b에서도 사용된다. 도 49a 및 도 49b는 맨드릴(32A 및 32B) 및 하드 마스크(32C) 위에 스페이서 층(38)을 증착한 후 및 스페이서 층(38) 위에 패드 층(144)을 증착한 후의 도 39a 및 도 39b의 웨이퍼(100)를 도시한 것이다. 이 단계는 도 55에 도시된 공정 흐름에서 단계(620)로서 예시된다. 따라서, 단계(605)는 도 48의 단계(405)와 실질적으로 동일하고, 단계(610)는 단계(410)와 실질적으로 동일하며, 그리고 단계(615)는 단계(415)와 실질적으로 동일하다. 따라서, 기판(10), 디바이스들(12), 유전체 층(14), 도전성 피처들(16), 에칭 정지 층(26), 타겟 층(28), 하드 마스크(30), 맨드릴(32A 및 32B), 하드 마스크(32C)는 도 37a 및 도 37b, 및 도 38a 및 도 38b에 도시된 것과 유사한 피처들을 지칭하며, 따라서 여기서는 반복되지 않는다. 일부 실시예에 따르면, 맨드릴(32A 및 32B) 및 하드 마스크(32C) 위의 스페이서 층(38)의 두께(T9A)는 약 50 Å 내지 약 200 Å의 범위 내에 있으며, 예를 들어, 약 100 Å 내지 약 160 Å이지만, 다른 값들이 고려되고 사용될 수 있다.
패드 층(144)이 스페이서 층(38) 위에 증착된다. 이 단계는 도 55에 도시된 공정 흐름(600)에서 단계(620)로서 예시된다. 패드 층은 또한 스페이서 층으로도 지칭될 수 있다. 패드 층(144)는 도 41a 및 도 41b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 형성될 수 있고, 이들은 반복되지는 않는다. 패드 층(144)은 약 10 Å 내지 약 100 Å의 두께(T10A)로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다.
도 50a 및 도 50b에서, 포토 마스크(142)는 웨이퍼(100) 위에 형성될 수 있고, 제 1 영역(100A)을 노출하지만, 제 2 영역(100B) 위에서는 남아 있도록 패터닝될 수 있다. 이 단계는 도 55에 도시된 공정 흐름(600)에서 단계(625)로서 예시된다. 포토 마스크(142)는 도 6a 및 도 6b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제조될 수 있고, 이들은 반복되지는 않는다.
도 51a 및 도 51b에서, 포토 마스크(142)로부터 노출되는 패드 층(144)의 부분은 습식 에칭 공정 또는 건식 에칭(예컨대, 플라즈마) 공정 또는 이들의 조합과 같은 임의의 적합한 기술에 의해 제거될 수 있다. 이 단계는 도 55에 도시된 공정 흐름(600)에서 단계(630)로서 예시된다. 플라즈마 에칭 공정은 플루오로카본(CxFy) 가스, 클로로플루오로카본(CxClyFz) 가스 등 또는 이들의 조합을 사용하는 것을 포함할 수 있다. 습식 에칭 공정은 표준 세정-1 (SC1), 표준 세정-2 (SC2), 황산-과산화수소 혼합물(SPM), 희석된 불화 수소(dHF) 산, 과산화수소(H2O2), 완충 산화물 에칭(buffered oxide etch)(BOE) 용액, 염산(HCl) 등, 또는 이들의 조합 중 하나 이상의 용액을 사용하는 것을 포함할 수 있다. 용액의 온도는 약 20 ℃ 내지 약 90 ℃의 범위일 수 있고, 용액 내에서의 기판의 침지 지속 시간은 약 10 초 내지 약 120 초의 범위일 수 있다.
도 52a 및 도 2b에서, 포토 마스크(142)는 애싱 공정 또는 습식 에칭 공정을 사용하여 제거되고,스페이서 층 및 패드 층들은 스페이서들을 형성하도록 에칭된다. 이 단계들은 도 55에 도시된 공정 흐름(600)에서 단계들(635 및 640)로서 예시된다. 포토 마스크(142)가 제거된 후, 패드 층(144)은 제 2 영역(100B)에서 스페이서 층(38) 위에 남아 있다. 패드 층(144)은 제 2 영역(100B)에서 스페이서 층(38)의 폭을 패딩하는 데 사용된다.
스페이서 층(38) 및 결합된 스페이서 층(244)을 에칭하기 전에 희생 재료(154)를 형성하기 위해 도 9a 및 도 9b 내지 도 12a 및 도 12b와 관련하여 전술한 공정이 수행될 수 있다.
또한 도 52a 및 도 52b에서, 제 1 영역(100A)에서의 스페이서 층(38)의 수평 부분들, 및 제 2 영역(100B)에서의 결합된 스페이서 층(38) 및 패드 층(144)(함께, 결합된 스페이서 층(244))을 제거하지만, 스페이서 층(38) 및 결합된 스페이서 층(244)의 수직 부분들은 남아 있도록 이방성 에칭이 수행된다. 이 단계는 도 55에 도시된 공정 흐름(400)에서 단계(640)로서 예시된다. 이후, 제 1 영역(100A)에서의 스페이서 층(38)의 나머지 부분들은 스페이서들(40A)이라고 지칭되며, 제 2 영역(100B)에서의 결합된 스페이서 층(244)의 나머지 부분들은 스페이서들(40B)이라고 지칭된다. 결합된 스페이서 층(242)을 에칭하기 위한 공정은 도 16a 및 도 16b의 스페이서 층(38)의 에칭과 유사한 재료들 및 공정들을 사용할 수 있으며, 이들은 반복되지 않는다.
도 52a에 도시된 바와 같이, 스페이서들(40A)은 맨드릴(32A)의 측벽들 상에 있고, 스페이서들(40B)은 맨드릴(32B)의 측벽들 상에 있다. 일부 실시예에서, 하드 마스크(32C)는 또한 그의 측벽들 상에 형성된 스페이서들(40A) 및 스페이서들(40B)을 가질 수 있다. 도 52b를 참조하면, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향의 길이 방향 부분들을 포함할 수 있으며, 다양한 형상을 형성할 수 있다. 일부 실시예에서, 스페이서들(40A) 및 스페이서들(40B)은 x 방향 및 y 방향에 대해 0이 아닌 각도에서의 길이 방향 부분들을 포함할 수 있거나, 곡선 섹션, 둥근 섹션, 원형 섹션 등을 포함할 수 있다. 하드 마스크(32C)는 또한 제 1 영역(100A)에서 패드 층(144)을 제거한 결과, 단차형 상부 표면을 가질 수 있다. 스페이서들(40B)의 높이는 또한 스페이서들(40A)의 높이보다 약 0 Å 내지 약 50 Å만큼 더 클 수 있다.
도 53a 및 도 53b는 유지 구역들(164)을 갖는 마스크(158/162)의 형성을 도시한 것이다. 마스크(158/162)의 형성은 도 14a 및 도 14b 내지 도 16a 및 도 16b에 대해 위에서 논의된 것과 유사하며, 세부 사항은 반복되지 않는다. 예시된 실시예에서, 도 53b는 유지 구역(164)이 제 2 영역(100B)에 있음을 예시한 것이다. 마스크(158/162)는 제거되지 않는 맨드릴 층(32)의 구역들을 보호하기 위해 사용된다.
단면도 및 평면도를 각각 포함하는 도 54a 및 도 54b에서, 맨드릴(32A 및 32B)은 맨드릴(32A 및 32B)을 제거하는 에칭 단계에서 선택적으로 에칭되어, 스페이서들(40A) 사이에 개구부들(43A)을 형성하고, 스페이서들(40B) 사이에 개구부들(43B)을 형성한다. 일부 실시예에서, 하드 마스크(32C)가 또한 제거되어 제 3 영역(100C)에서 스페이서들(40A)과 스페이서들(40B) 사이에 개구부들(43C)(괄호로 표시됨)을 형성한다. 이 단계는 도 55에 도시된 공정 흐름(600)에서 단계(645)로서 예시된다. 맨드릴(32A 및 32B) 및 하드 마스크(32C)는 도 8a 및 도 8b와 관련하여 위에서 논의된 것과 유사한 공정들 및 재료들을 사용하여 제거될 수 있고, 이들은 반복되지는 않는다. 스페이서들(40A)은 두께(T9A)와 거의 동일한 폭(T11A)을 갖는다. 스페이서들(40B)은 두께(T9A + T10A)(도 52a 및 도 52b와 관련하여 기술된 스페이서 에칭 공정으로부터 약 0 Å 내지 20 Å의 손실을 뺀 것)와 거의 동일한 폭(T11B)을 갖는다.
도 55의 나머지 공정들은 도 25와 관련하여 기술된 유사한 공정들과 유사하고, 유사한 공정들 및 재료들을 사용하여 수행될 수 있으며, 따라서 반복되지는 않는다. 예를 들어, 도 55에 도시된 공정 흐름(600)에서 단계(650)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(245)로 예시된 단계와 유사하며; 도 55에 도시된 공정 흐름(600)에서 단계(655)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(250)로 예시된 단계와 유사하며; 그리고 도 55에 도시된 공정 흐름(600)에서 단계(660)로 예시된 단계는 도 25에 도시된 공정 흐름에서 단계(255)로 예시된 단계와 유사하다. 따라서, 도 24a 및 도 24b와 관련하여 전술한 것과 유사하게, 형성된 금속 라인들, 예를 들어, 금속 라인들(50)은 2 개의 간격들(S1 및 S2)을 포함하고, 간격(S2)은 간격(S1)보다 크다.
본 개시 내용의 실시예는 유리하게는 동일한 웨이퍼 상에 다수의 이격되고 사이즈가 지정된 맨드릴 및 스페이서를 갖는 능력을 제공한다. 상이한 사이즈의 맨드릴들 위에 초기 스페이서 층을 증착한 후, 스페이서 층을 조작하고 변경하여, 스페이서 층의 일부가 더 넓고/또는 더 멀리 떨어진 맨드릴보다 더 두껍지만, 스페이서 층의 일부가 더 얇아지고 및/또는 더 가깝게 배치된 맨드릴보다 더 얇아지도록 할 수 있다. 다중 폭을 갖는 스페이서 층을 이용함으로써, 후속적으로 형성되는 금속 라인들은 스페이서들의 폭에 기반하여 커스텀화된 라인들 간의 분리를 가질 수 있다. 이를 통해, 웨이퍼는, 예를 들어, 동일한 상호접속 층 내에서 다수의 타입의 라인 시그널링 및 격리를 가질 수 있다. 스페이서 사이즈들을 조정하면, 인접한 금속 라인들 간에 상이한 캐패시턴스 효과를 디자인할 수도 있다. 예를 들어, 금속 라인들 간의 캐패시턴스 누설이 디바이스 디자인의 다른 구역들에서만큼 문제가 되지 않도록 디바이스 디자인의 일부 구역들을 구성하는 실시예에서, 이러한 구역들에서의 금속 라인들 간의 격리 간격은 더 작을 수 있지만 다른 구역들에서는 더 클 수 있다. 그 결과, 형성된 피처들 간의 간격이 조정될 수 있고, 그 피처들의 형성에서의 유연성이 향상된다.
일 실시예는 제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계를 포함하는 방법이며, 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 갖는다. 상기 방법은 또한 상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 스페이서 층을 증착하는 단계를 포함한다. 상기 방법은 또한 상기 스페이서 층 및 상기 제 1 맨드릴들 위에 마스크 층을 형성하는 단계를 포함한다. 상기 방법은 상기 제 2 맨드릴들 위의 상기 스페이서 층을 박형화하는 단계를 더 포함한다. 상기 방법은 상기 마스크 층을 제거하는 단계를 더 포함한다. 상기 스페이서 층은 스페이서 에칭 마스크를 형성하도록 에칭되고, 상기 스페이서 에칭 마스크는 상기 제 1 맨드릴들의 측벽들과 접촉하는 제 1 스페이서들 및 상기 제 2 맨드릴들의 측벽들과 접촉하는 제 2 스페이서들을 포함할 수 있으며, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 큰 폭을 갖는다. 상기 방법은 또한 상기 스페이서 에칭 마스크에 기반하여 상기 타겟 층을 에칭하는 단계를 포함한다. 일 실시예에서, 상기 방법은 상기 마스크 층을 제거한 후, 상기 스페이서 층 위에 제 2 스페이서 층을 증착하는 단계를 포함할 수 있다. 일 실시예에서, 상기 방법은 상기 타겟 층의 제 1 영역 내에 제 1 금속 라인들을 형성하는 단계 ― 상기 제 1 영역은 상기 제 1 맨드릴들에 대응함 ―; 및 상기 타겟 층의 제 2 영역 내에 제 2 금속 라인들을 형성하는 단계를 포함할 수 있고, 상기 제 2 영역은 상기 제 2 맨드릴들에 대응하며, 상기 제 2 금속 라인들은 상기 제 1 금속 라인들보다 더 큰 거리만큼 서로 분리되어 있다. 일 실시예에서, 상기 방법은 상기 스페이서 층 및 상기 제 1 맨드릴들 위에 제 2 마스크 층을 형성하는 단계; 컷 라인 구역들을 노출시키기 위해 상기 제 2 마스크 층을 패터닝하는 단계; 상기 컷 라인 구역들 내에 희생 재료를 증착하는 단계; 및 상기 제 2 마스크 층을 제거하는 단계를 포함할 수 있으며, 상기 희생 재료는 상기 스페이서 에칭 마스크의 부분이 된다. 일 실시예에서, 상기 방법은 상기 스페이서 에칭 마스크 아래에 있는 하드 마스크를 에칭하기 위해 상기 스페이서 에칭 마스크를 사용하는 단계; 및 상기 타겟 층을 에칭하기 위해 상기 하드 마스크를 사용하는 단계를 포함할 수 있다. 일 실시예에서, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 20 Å 내지 50 Å 더 넓다.
다른 실시예는 제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계를 포함하는 방법이며, 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 갖는다. 스페이서 층은 상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 증착된다. 마스크 층이 상기 스페이서 층 및 상기 제 2 맨드릴들 위에 형성되고, 상기 제 1 맨드릴들 위의 상기 스페이서 층의 일부는 상기 마스크 층으로부터 노출된다. 패드 층이 상기 마스크 층 및 상기 노출된 스페이서 층 위에 증착되고, 상기 마스크 층이 제거된다. 상기 방법은 또한 제 1 스페이서들을 포함하는 제 1 스페이서 에칭 마스크를 형성하기 위해 상기 제 1 맨드릴들 위의 상기 스페이서 층 및 상기 패드 층을 에칭하고, 제 2 스페이서들을 포함하는 제 2 스페이서 마스크를 형성하기 위해 상기 제 2 맨드릴들 위의 상기 스페이서 층을 에칭하는 단계를 포함하고, 상기 제 1 스페이서들은 각각 제 2 스페이서들보다 넓다. 상기 방법은 또한 상기 제 1 스페이서 에칭 마스크 및 상기 제 2 스페이서 에칭 마스크에 기반하여 상기 타겟 층을 에칭하는 단계를 포함한다. 일 실시예에서, 상기 방법은, 상기 마스크 층을 제거한 후, 제 2 마스크 층을 형성하는 단계; 상기 제 2 마스크 층 내에 개구부를 제공하도록 상기 제 2 마스크 층을 패터닝하는 단계 ― 상기 개구부는 상기 패드 층의 잔류물을 노출시킴 ―; 및 상기 패드 층의 잔류물을 제거하는 단계를 포함한다. 일 실시예에서, 상기 제 1 스페이서 마스크 또는 제 2 스페이서 마스크는 상기 희생 재료의 필러들을 포함할 수 있다. 일 실시예에서, 상기 패드 층과 상기 희생 재료는 동일한 재료를 포함할 수 있다. 일 실시예에서, 상기 타겟 층은 반도체 재료를 포함할 수 있다. 일 실시예에서, 상기 제 1 맨드릴 층을 패터닝하는 단계는 상기 제 1 맨드릴들과 상기 제 2 맨드릴들 사이에 하드 마스크를 형성하기 위해 상기 제 1 맨드릴 층을 패터닝하는 단계를 포함한다. 일 실시예에서, 상기 방법은 상기 스페이서 층의 측벽들 상에 배치된 상기 패드 층의 수직 부분들은 유지하면서 상기 제 1 맨드릴들 위에서 상기 패드 층의 수평 부분들을 제거하는 단계를 포함할 수 있다.
다른 실시예는 웨이퍼의 제 1 영역 내에 제 1 맨드릴들의 세트를 형성하고 상기 웨이퍼의 제 2 영역 내에 제 2 맨드릴들의 세트를 형성하는 단계를 포함하는 방법이며, 상기 제 1 맨드릴들의 세트는 상기 제 2 맨드릴들의 세트보다 더 넓은 피치를 갖는다. 상기 방법은 또한 상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트 위에 제 1 스페이서 층을 증착하는 단계, 및 상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트 위에 제 2 스페이서 층을 증착하는 단계를 포함한다. 마스크는 상기 제 1 영역 위에 형성된다. 상기 제 2 영역에서 상기 제 2 맨드릴들의 세트 위의 제 2 스페이서 층이 제거되고, 그 후 상기 마스크가 제거된다. 상기 방법은 또한 상기 제 1 영역의 제 1 스페이서들 및 상기 제 2 영역의 제 2 스페이서들을 포함하는 스페이서 마스크를 형성하기 위해 상기 제 2 영역 내의 상기 제 1 스페이서 층을 에칭하고 상기 제 1 영역 내의 상기 제 1 스페이서 층 및 상기 제 2 스페이서 층을 에칭하는 단계를 포함하며, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 넓다. 상기 제 1 맨드릴들의 세트와 상기 제 2 맨드릴들의 세트가 제거된다. 상기 스페이서 마스크 아래에 있는 타겟 층이 에칭된다. 일 실시예에서, 상기 방법은 상기 스페이서 마스크 바로 아래에 있는 하드 마스크 층을 에칭하는 단계 및 상기 타겟 층을 에칭하기 위해 상기 하드 마스크 층을 사용하는 단계를 포함할 수 있다. 일 실시예에서, 상기 제 1 영역에서 인접한 라인들 간의 간격은 상기 제 2 영역에서 인접한 금속 라인들 간의 간격보다 크다. 일 실시예에서, 상기 제 2 스페이서 층은 상기 제 1 스페이서 층과는 다른 재료를 포함할 수 있다. 일 실시예에서, 상기 제 1 스페이서들 중의 스페이서는 상기 하드 마스크의 일 측과 접촉하고, 상기 제 2 스페이서들 중의 스페이서는 상기 하드 마스크의 반대 측과 접촉한다. 일 실시예에서, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 높다.
전술한 내용은 본 기술 분야의 기술자가 본 개시 내용의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예의 특징들을 개략적으로 설명하고 있다. 본 기술 분야의 기술자는 본원에 도입된 실시예들과 동일한 목적을 수행하고 및/또는 동일한 효과를 달성하는 다른 공정들 및 구조물들을 디자인하거나 수정하기 위한 토대로서 본 개시 내용을 용이하게 사용할 수 있다는 것을 이해해야 한다. 본 기술 분야의 기술자는 또한 이러한 등가의 구성이 본 개시 내용의 사상 및 범위를 벗어나지 않으며, 본 개시 내용의 사상 및 범위를 벗어나지 않으면서 본원에서 다양한 수정, 대체, 및 변형을 행할 수 있다는 것을 인식해야 한다.
실시예들
실시예 1. 방법으로서,
제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계 ― 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 가짐 ―;
상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 스페이서 층을 성막하는 단계;
상기 스페이서 층 및 상기 제 1 맨드릴들 위에 마스크 층을 형성하는 단계;
상기 제 2 맨드릴들 위의 상기 스페이서 층을 박형화(thinning)하는 단계;
상기 마스크 층을 제거하는 단계;
스페이서 에칭 마스크를 형성하기 위해 상기 스페이서 층을 에칭하는 단계 ― 상기 스페이서 에칭 마스크는 상기 제 1 맨드릴들의 측벽들과 접촉하는 제 1 스페이서들 및 상기 제 2 맨드릴들의 측벽들과 접촉하는 제 2 스페이서들을 포함하며, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 큰 폭을 가짐 ―; 및
상기 스페이서 에칭 마스크에 기반하여 상기 타겟 층을 에칭하는 단계
를 포함하는 방법.
실시예 2. 실시예 1에 있어서,
상기 마스크 층을 제거한 후, 상기 스페이서 층 위에 제 2 스페이서 층을 성막하는 단계
를 더 포함하는 방법.
실시예 3. 실시예 1에 있어서,
상기 타겟 층의 제 1 영역 내에 제 1 금속 라인들을 형성하는 단계; 및
상기 타겟 층의 제 2 영역 내에 제 2 금속 라인들을 형성하는 단계
를 더 포함하고,
상기 제 1 영역은 상기 제 1 맨드릴들에 대응하고,
상기 제 2 영역은 상기 제 2 맨드릴들에 대응하며,
상기 제 2 금속 라인들은 상기 제 1 금속 라인들보다 더 큰 거리만큼 서로 분리되어 있는 것인 방법.
실시예 4. 실시예 1에 있어서,
상기 스페이서 층 및 상기 제 1 맨드릴들 위에 제 2 마스크 층을 형성하는 단계;
컷 라인(cut line) 구역들을 노출시키도록 상기 제 2 마스크 층을 패터닝하는 단계;
상기 컷 라인 구역들 내에 희생 재료를 성막하는 단계; 및
상기 제 2 마스크 층을 제거하는 단계
를 더 포함하고,
상기 희생 재료는 상기 스페이서 에칭 마스크의 부분이 되는 것인 방법.
실시예 5. 실시예 1에 있어서,
상기 스페이서 에칭 마스크 아래에 있는 하드 마스크를 에칭하기 위해 상기 스페이서 에칭 마스크를 사용하는 단계; 및
상기 타겟 층을 에칭하기 위해 상기 하드 마스크를 사용하는 단계
를 더 포함하는 방법.
실시예 6. 실시예 1에 있어서,
상기 제 1 스페이서들은 상기 제 2 스페이서들보다 20 Å 내지 50 Å 더 넓은 것인 방법.
실시예 7. 방법으로서,
제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계 ― 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 가짐 ―;
상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 스페이서 층을 성막하는 단계;
상기 스페이서 층 및 상기 제 2 맨드릴들 위에 마스크 층을 형성하는 단계 ― 상기 제 1 맨드릴들 위의 상기 스페이서 층의 일부는 상기 마스크 층으로부터 노출됨 ―;
상기 마스크 층 및 상기 노출된 스페이서 층 위에 패드 층을 성막하는 단계;
상기 마스크 층을 제거하는 단계;
제 1 스페이서들을 포함하는 제 1 스페이서 마스크를 형성하기 위해 상기 제 1 맨드릴들 위의 상기 스페이서 층 및 상기 패드 층을 에칭하고, 제 2 스페이서들을 포함하는 제 2 스페이서 마스크를 형성하기 위해 상기 제 2 맨드릴들 위의 상기 스페이서 층을 에칭하는 단계 ― 상기 제 1 스페이서들은 각각 제 2 스페이서들보다 더 넓음 ―; 및
상기 제 1 스페이서 에칭 마스크 및 상기 제 2 스페이서 에칭 마스크에 기반하여 상기 타겟 층을 에칭하는 단계
를 포함하는 방법.
실시예 8. 실시예 7에 있어서,
상기 마스크 층을 제거한 후, 제 2 마스크 층을 형성하는 단계;
상기 제 2 마스크 층 내에 개구부를 제공하기 위해 상기 제 2 마스크 층을 패터닝하는 단계 ― 상기 개구부는 상기 패드 층의 잔류물을 노출시킴 ―; 및
상기 패드 층의 잔류물을 제거하는 단계
를 더 포함하는 방법.
실시예 9. 실시예 7에 있어서,
상기 마스크 층을 제거한 후, 제 2 마스크 층을 형성하는 단계;
상기 제 2 마스크 층 내에 하나 이상의 개구부를 제공하기 위해 상기 제 2 마스크 층을 패터닝하는 단계 ― 상기 하나 이상의 개구부는 각각 상기 제 1 맨드릴들의 서브 세트 또는 상기 제 2 맨드릴들의 서브 세트 위의 구역을 노출시킴 ―;
상기 하나 이상의 개구부 내에 희생 재료를 성막하는 단계; 및
상기 제 2 마스크 층을 제거함으로써, 상기 제 1 맨드릴들 또는 상기 제 2 맨드릴들 위의 상기 희생 재료의 필러(pillar)들을 남겨 두는 단계
를 더 포함하고,
상기 제 1 스페이서 마스크 또는 제 2 스페이서 마스크는 상기 희생 재료의 필러들을 포함한 것인 방법.
실시예 10. 실시예 9에 있어서,
상기 패드 층과 상기 희생 재료는 동일한 재료를 포함한 것인 방법.
실시예 11. 실시예 7에 있어서,
상기 타겟 층은 반도체 재료를 포함한 것인 방법.
실시예 12. 실시예 7에 있어서,
상기 제 1 맨드릴 층을 패터닝하는 단계는 상기 제 1 맨드릴들과 상기 제 2 맨드릴들 사이에 하드 마스크를 형성하기 위해 상기 제 1 맨드릴 층을 패터닝하는 단계를 포함한 것인 방법.
실시예 13. 실시예 7에 있어서,
상기 스페이서 층의 측벽들 상에 배치된 상기 패드 층의 수직 부분들을 남겨두면서, 상기 제 1 맨드릴들 위로부터 상기 패드 층의 수평 부분들을 제거하는 단계
를 더 포함하는 방법.
실시예 14. 방법으로서,
웨이퍼의 제 1 영역 내에 제 1 맨드릴들의 세트를 형성하는 단계;
상기 웨이퍼의 제 2 영역 내에 제 2 맨드릴들의 세트를 형성하는 단계 ― 상기 제 1 맨드릴들의 세트는 상기 제 2 맨드릴들의 세트보다 더 넓은 피치를 가짐 ―;
상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트 위에 제 1 스페이서 층을 성막하는 단계;
상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트 위에 제 2 스페이서 층을 성막하는 단계;
상기 제 1 영역 위에 마스크를 형성하는 단계;
상기 제 2 영역에서 상기 제 2 맨드릴들의 세트 위의 상기 제 2 스페이서 층을 제거하는 단계;
상기 마스크를 제거하는 단계;
상기 제 1 영역 내의 제 1 스페이서들 및 상기 제 2 영역 내의 제 2 스페이서들을 포함하는 스페이서 마스크를 형성하기 위해 상기 제 2 영역 내의 상기 제 1 스페이서 층을 에칭하고 상기 제 1 영역 내의 상기 제 1 스페이서 층 및 상기 제 2 스페이서 층을 에칭하는 단계 ― 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 넓음 ―;
상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트를 제거하는 단계; 및
상기 스페이서 마스크 아래에 있는 타겟 층을 에칭하는 단계
를 포함하는 방법.
실시예 15. 실시예 14에 있어서,
상기 스페이서 마스크 바로 아래에 있는 하드 마스크 층을 에칭하고, 상기 타겟 층을 에칭하기 위해 상기 하드 마스크 층을 사용하는 단계
를 더 포함하는 방법.
실시예 16. 실시예 14에 있어서,
라이너 층 및 도전성 충전재를 상기 타겟 층 내의 개구부 내에 성막하여, 상기 제 1 영역 내에 제 1 금속 라인들을 형성하고 상기 제 2 영역 내에 제 2 금속 라인들을 형성하는 단계
를 더 포함하고,
상기 제 1 영역에서 인접한 라인들 간의 간격은 상기 제 2 영역에서 인접한 금속 라인들 간의 간격보다 큰 것인 방법.
실시예 17. 실시예 14에 있어서,
상기 제 2 스페이서 층은 상기 제 1 스페이서 층과는 다른 재료를 포함한 것인 방법.
실시예 18. 실시예 14에 있어서,
상기 웨이퍼의 제 3 영역 내에 하드 마스크 구조물을 형성하는 단계
를 더 포함하고,
상기 하드 마스크 구조물은 상기 제 1 맨드릴들의 세트 중의 하나의 맨드릴과 상기 제 2 맨드릴들의 세트 중의 하나의 맨드릴 사이에 개재되어 있고,
상기 제 1 스페이서들의 스페이서는 상기 하드 마스크 구조물의 일 측과 접촉하며,
상기 제 2 스페이서들의 스페이서는 상기 하드 마스크 구조물의 반대 측과 접촉해 있는 것인 방법.
실시예 19. 실시예 14에 있어서,
상기 제 1 스페이서들은 상기 제 2 스페이서들보다 키가 더 높은 것인 방법.
실시예 20. 실시예 14에 있어서,
상기 제 1 스페이서들은 하단 부분과, 상기 제 1 스페이서 층의 섹션을 포함하는 제 1 측 부분 및 상기 제 2 스페이서 층의 섹션을 포함하는 제 2 측 부분을 포함하는 것인 방법.

Claims (10)

  1. 방법으로서,
    제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계 ― 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 가짐 ―;
    상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 제 1 스페이서 층을 성막하는 단계;
    상기 제 1 스페이서 층 및 상기 제 1 맨드릴들 위에 마스크 층을 형성하는 단계;
    상기 제 2 맨드릴들 위의 상기 제 1 스페이서 층을 박형화(thinning)하는 단계;
    상기 마스크 층을 제거하는 단계;
    상기 마스크 층을 제거한 후, 상기 제 1 스페이서 층 위에 제 2 스페이서 층을 성막하는 단계;
    스페이서 에칭 마스크를 형성하기 위해 상기 제 1 및 제 2 스페이서 층을 에칭하는 단계 ― 상기 스페이서 에칭 마스크는 상기 제 1 맨드릴들의 측벽들과 접촉하는 제 1 스페이서들 및 상기 제 2 맨드릴들의 측벽들과 접촉하는 제 2 스페이서들을 포함하며, 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 큰 폭을 가짐 ―;
    상기 제 1 및 제 2 맨드릴들을 제거하는 단계; 및
    상기 스페이서 에칭 마스크에 기반하여 상기 타겟 층을 에칭하는 단계
    를 포함하는 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 타겟 층의 제 1 영역 내에 제 1 금속 라인들을 형성하는 단계; 및
    상기 타겟 층의 제 2 영역 내에 제 2 금속 라인들을 형성하는 단계
    를 더 포함하고,
    상기 제 1 영역은 상기 제 1 맨드릴들에 대응하고,
    상기 제 2 영역은 상기 제 2 맨드릴들에 대응하며,
    상기 제 2 금속 라인들은 상기 제 1 금속 라인들보다 더 큰 거리만큼 서로 분리되어 있는 것인 방법.
  4. 제1항에 있어서,
    상기 제 1 및 제 2 스페이서 층 및 상기 제 1 맨드릴들 위에 제 2 마스크 층을 형성하는 단계;
    컷 라인(cut line) 구역들을 노출시키도록 상기 제 2 마스크 층을 패터닝하는 단계;
    상기 컷 라인 구역들 내에 희생 재료를 성막하는 단계; 및
    상기 제 2 마스크 층을 제거하는 단계
    를 더 포함하고,
    상기 희생 재료는 상기 스페이서 에칭 마스크의 부분이 되는 것인 방법.
  5. 제1항에 있어서,
    상기 스페이서 에칭 마스크 아래에 있는 하드 마스크를 에칭하기 위해 상기 스페이서 에칭 마스크를 사용하는 단계; 및
    상기 타겟 층을 에칭하기 위해 상기 하드 마스크를 사용하는 단계
    를 더 포함하는 방법.
  6. 방법으로서,
    제 1 맨드릴들 및 제 2 맨드릴들을 형성하기 위해 타겟 층 위의 제 1 맨드릴 층을 패터닝하는 단계 ― 상기 제 1 맨드릴들은 상기 제 2 맨드릴들보다 더 큰 폭을 가짐 ―;
    상기 제 1 맨드릴들 및 상기 제 2 맨드릴들 위에 스페이서 층을 성막하는 단계;
    상기 스페이서 층 및 상기 제 2 맨드릴들 위에 마스크 층을 형성하는 단계 ― 상기 제 1 맨드릴들 위의 상기 스페이서 층의 일부는 상기 마스크 층으로부터 노출됨 ―;
    상기 마스크 층 및 상기 노출된 스페이서 층 위에 패드 층을 성막하는 단계;
    상기 마스크 층을 제거하는 단계;
    제 1 스페이서들을 포함하는 제 1 스페이서 마스크를 형성하기 위해 상기 제 1 맨드릴들 위의 상기 스페이서 층 및 상기 패드 층을 에칭하고, 제 2 스페이서들을 포함하는 제 2 스페이서 마스크를 형성하기 위해 상기 제 2 맨드릴들 위의 상기 스페이서 층을 에칭하는 단계 ― 상기 제 1 스페이서들은 각각 제 2 스페이서들보다 더 큰 폭을 가짐 ―; 및
    상기 제 1 스페이서 마스크 및 상기 제 2 스페이서 마스크에 기반하여 상기 타겟 층을 에칭하는 단계
    를 포함하고,
    상기 방법은 또한,
    상기 마스크 층을 제거한 후, 제 2 마스크 층을 형성하는 단계;
    상기 제 2 마스크 층 내에 하나 이상의 개구부를 제공하기 위해 상기 제 2 마스크 층을 패터닝하는 단계 - 상기 하나 이상의 개구부는 각각 상기 제 1 맨드릴들의 서브 세트 또는 상기 제 2 맨드릴들의 서브 세트 위의 구역을 노출시킴 -;
    상기 하나 이상의 개구부 내에 희생 재료를 성막하는 단계; 및
    상기 제 2 마스크 층을 제거함으로써, 상기 제 1 맨드릴들 또는 상기 제 2 맨드릴들 위의 상기 희생 재료의 필러(pillar)들을 남겨 두는 단계
    를 더 포함하고,
    상기 제 1 스페이서 마스크 또는 제 2 스페이서 마스크는 상기 희생 재료의 필러들을 포함한 것인 방법.
  7. 제6항에 있어서,
    상기 마스크 층을 제거한 후, 제 2 마스크 층을 형성하는 단계;
    상기 제 2 마스크 층 내에 개구부를 제공하기 위해 상기 제 2 마스크 층을 패터닝하는 단계 ― 상기 개구부는 상기 패드 층의 잔류물을 노출시킴 ―; 및
    상기 패드 층의 잔류물을 제거하는 단계
    를 더 포함하는 방법.
  8. 삭제
  9. 제6항에 있어서,
    상기 스페이서 층의 측벽들 상에 배치된 상기 패드 층의 수직 부분들을 남겨두면서, 상기 제 1 맨드릴들 위로부터 상기 패드 층의 수평 부분들을 제거하는 단계
    를 더 포함하는 방법.
  10. 방법으로서,
    웨이퍼의 제 1 영역 내에 제 1 맨드릴들의 세트를 형성하는 단계;
    상기 웨이퍼의 제 2 영역 내에 제 2 맨드릴들의 세트를 형성하는 단계 ― 상기 제 1 맨드릴들의 세트는 상기 제 2 맨드릴들의 세트보다 더 넓은 피치를 가짐 ―;
    상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트 위에 제 1 스페이서 층을 성막하는 단계;
    상기 제 1 스페이서 층 위에 제 2 스페이서 층을 성막하는 단계;
    상기 제 1 영역 위에 마스크를 형성하는 단계;
    상기 제 2 영역에서 상기 제 2 맨드릴들의 세트 위의 상기 제 2 스페이서 층을 제거하는 단계;
    상기 마스크를 제거하는 단계;
    상기 제 1 영역 내의 제 1 스페이서들 및 상기 제 2 영역 내의 제 2 스페이서들을 포함하는 스페이서 마스크를 형성하기 위해 상기 제 2 영역 내의 상기 제 1 스페이서 층을 에칭하고 상기 제 1 영역 내의 상기 제 1 스페이서 층 및 상기 제 2 스페이서 층을 에칭하는 단계 ― 상기 제 1 스페이서들은 상기 제 2 스페이서들보다 더 큰 폭을 가짐 ―;
    상기 제 1 맨드릴들의 세트 및 상기 제 2 맨드릴들의 세트를 제거하는 단계; 및
    상기 스페이서 마스크 아래에 있는 타겟 층을 에칭하는 단계
    를 포함하는 방법.
KR1020200139243A 2019-10-29 2020-10-26 자체 정렬된 이중 패터닝 KR102531315B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927336P 2019-10-29 2019-10-29
US62/927,336 2019-10-29
US17/018,705 US11676821B2 (en) 2019-10-29 2020-09-11 Self-aligned double patterning
US17/018,705 2020-09-11

Publications (2)

Publication Number Publication Date
KR20210053212A KR20210053212A (ko) 2021-05-11
KR102531315B1 true KR102531315B1 (ko) 2023-05-10

Family

ID=75586271

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200139243A KR102531315B1 (ko) 2019-10-29 2020-10-26 자체 정렬된 이중 패터닝

Country Status (3)

Country Link
US (1) US11676821B2 (ko)
KR (1) KR102531315B1 (ko)
CN (1) CN112750760A (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020123934A1 (de) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11289366B1 (en) * 2020-11-04 2022-03-29 Nanya Technology Corporation Method of manufacturing semiconductor structure
CN114613676A (zh) * 2020-12-09 2022-06-10 清华大学 场效应晶体管及其制备方法
TW202314306A (zh) * 2021-08-06 2023-04-01 美商元平台技術有限公司 用於層狀波導製造的選擇沉積或圖案化
US20230260795A1 (en) * 2022-02-17 2023-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for Reducing Line-End Spacing
TWI803348B (zh) * 2022-02-24 2023-05-21 南亞科技股份有限公司 具有遮罩線以抑制訊號串擾之半導體元件的製備方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014528647A (ja) * 2011-10-06 2014-10-27 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 多数の臨界寸法を用いる側壁像転写プロセス
US20160240629A1 (en) * 2015-02-12 2016-08-18 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8549458B2 (en) 2009-11-09 2013-10-01 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by sidewall-image transfer
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US20160314983A1 (en) 2015-04-22 2016-10-27 Samsung Electronics Co., Ltd. Method of forming patterns of a semiconductor device
CN106486371B (zh) 2015-08-28 2021-06-01 联华电子股份有限公司 一种制作半导体元件的方法
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US10340141B2 (en) 2017-04-28 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US11211388B2 (en) 2017-11-14 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Array boundfary structure to reduce dishing
KR102167959B1 (ko) 2017-11-14 2020-10-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조체 및 그 제조 방법
US10559492B2 (en) 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10636667B2 (en) 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
CN107968047A (zh) 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
FR3075774B1 (fr) 2017-12-21 2021-07-30 Commissariat Energie Atomique Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014528647A (ja) * 2011-10-06 2014-10-27 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 多数の臨界寸法を用いる側壁像転写プロセス
US20160240629A1 (en) * 2015-02-12 2016-08-18 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions

Also Published As

Publication number Publication date
KR20210053212A (ko) 2021-05-11
US11676821B2 (en) 2023-06-13
US20210125836A1 (en) 2021-04-29
CN112750760A (zh) 2021-05-04

Similar Documents

Publication Publication Date Title
KR102531315B1 (ko) 자체 정렬된 이중 패터닝
US10840097B2 (en) Semiconductor methods and devices
KR100790999B1 (ko) 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US7494934B2 (en) Method of etching carbon-containing layer and method of fabricating semiconductor device
KR100829606B1 (ko) 미세 패턴의 형성 방법
CN111128860B (zh) 集成电路结构的形成方法
KR102111418B1 (ko) 자기 정렬 스페이서 패터닝을 사용하여 형성되는 플렉서블 스페이스를 가진 메탈 라우팅
TWI403235B (zh) 埋藏式電路結構之製作方法
US7384823B2 (en) Method for manufacturing a semiconductor device having a stabilized contact resistance
TW200828502A (en) Method for fabricating landing plug contact in semiconductor device
JP2004119905A (ja) ポリシリコンエッチング方法
US20060154439A1 (en) Method of fabricating semiconductor device
US11784056B2 (en) Self-aligned double patterning
US11710637B2 (en) Patterning method
US11476155B2 (en) Patterning method
US20220013360A1 (en) Method for forming self-aligned double pattern and semiconductor structures
KR100772532B1 (ko) 반도체 소자 제조 방법
CN111354630A (zh) 半导体结构及其制造方法
KR20060038605A (ko) 반도체 소자의 제조 방법
KR100869357B1 (ko) 공극 발생을 최소화할 수 있는 반도체소자 제조방법
KR20090044855A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant