KR20150007287A - 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착 - Google Patents

높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착 Download PDF

Info

Publication number
KR20150007287A
KR20150007287A KR1020147029221A KR20147029221A KR20150007287A KR 20150007287 A KR20150007287 A KR 20150007287A KR 1020147029221 A KR1020147029221 A KR 1020147029221A KR 20147029221 A KR20147029221 A KR 20147029221A KR 20150007287 A KR20150007287 A KR 20150007287A
Authority
KR
South Korea
Prior art keywords
substrate
amorphous carbon
gas
carbon layer
rti
Prior art date
Application number
KR1020147029221A
Other languages
English (en)
Other versions
KR102066524B1 (ko
Inventor
패트릭 라일리
샤히드 샤이크
터셈 숨맨
디네쉬 패드히
산지브 바루자
주안 카를로스 로차-앨바레즈
토마스 노왁
복헌 김
데렉 알. 위티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150007287A publication Critical patent/KR20150007287A/ko
Application granted granted Critical
Publication of KR102066524B1 publication Critical patent/KR102066524B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

여기에서 설명된 실시예들은 기판을 프로세싱하기 위한 방법에 관한 것이다. 일 실시예에서, 방법은 탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 프로세싱 시스템 내에 로케이팅된 증착 챔버 내로 도입하는 단계, 기판 상에 저-수소 함량 비정질 탄소 층을 형성하기 위해서 약 200 ℃ 내지 약 700 ℃의 온도에서 증착 챔버에서 가스 혼합물로부터 플라즈마를 생성하는 단계, 진공을 파괴하지 않고 기판을 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계, 및 약 200 ℃ 이상의 경화 온도에서 경화 챔버 내에서 UV 복사선에 기판을 노출시키는 단계를 포함한다.

Description

높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착{DEPOSITION OF AN AMORPHOUS CARBON LAYER WITH HIGH FILM DENSITY AND HIGH ETCH SELECTIVITY}
본 발명의 실시예들은 일반적으로, 집적 회로들의 제작에 관한 것이고 특히 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착에 관한 것이다.
비정질 탄소(a-C 로서 표시됨)와 같은 탄소-계 재료들이, 산화물, 질화물, 폴리-Si, 또는 금속(예를 들어, Al) 재료들을 위한 에칭 하드마스크(etch hardmask)로서 역할하는 효과적인 재료인 것으로 입증되었는데, 이는 그 화학적 불활성(inertness), 광학적 투명성, 및 양호한 기계적 성질들에 기인한다.
원하는 비정질 탄소 필름이 후속 에칭 프로세스 중에 하부 재료 층을 적절하게 보호하는 것을 보장하기 위해서, 비정질 탄소 필름이, 하부의 재료 층에 대해서, 상대적으로 높은 에칭 선택비 또는 제거 레이트 비율(removal rate ratio)을 갖는 것이 중요하다. 더 높은 에칭 선택비는 패턴들을 하부층들로 정확하게 전사(transfer)하기 위해서 필요하다. 에칭 선택비는, 예를 들어, 비정질 탄소 필름을 조밀화(densify)하는 것에 의해서 증가될 수 있으나, 그러한 조밀화는 높은 압축 필름 응력(compressive film stress)을 초래하고, 그러한 응력은 리소그래픽 프로세스 중에 피쳐 전사에 상당한 영향을 미칠 수 있다. 높은 압축 필름 응력은, 후속 에칭 프로세스 중에, 특히 피쳐 크기가 20 nm 및 그 너머로 축소될 때, 패터닝된 라인의 벤딩 또는 라인 파괴를 유발한다.
그에 따라, 감소된 압축 필름 응력, 우수한 에칭 선택비 및 라인 벤딩 제어를 갖는 하드마스크가 당업계에서 요구되고 있다.
본 발명의 실시예들은 일반적으로, 45 nm 아래(sub 45 nm)의 디바이스들에 대해서 우수한 라인 무결성(integrity)을 여전히 유지하면서, 높은 필름 밀도, 높은 에칭 선택비, 및 적절한 애싱성(ashability)을 갖는 하드마스크를 형성하기 위한 방법을 제공한다. 높은 에칭 선택비는, 라인 요동(wiggling) 및 라인 벤딩과 연관된 통상적인 문제들을 가지지 않고, 에칭 마진(margin)을 개선하고 더 작은 피쳐들의 제어가능한 에칭을 허용하는, 더 얇은 하드마스크를 가능하게 한다.
본 발명의 실시예들은 기판 프로세싱 챔버에서 기판 상에 비정질 탄소 층을 증착하기 위한 방법을 제공한다. 일 실시예에서, 방법은 탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 프로세싱 시스템 내에 로케이팅된 증착 챔버 내로 도입하는 단계, 기판 상에 저-수소 함량 비정질 탄소 층을 형성하기 위해서 약 200 ℃ 내지 약 700 ℃의 온도에서 증착 챔버에서 가스 혼합물로부터 플라즈마를 생성하는 단계, 진공을 파괴하지 않고 기판을 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계, 및 약 200 ℃ 초과의 경화 온도에서 경화 챔버 내에서 UV 복사선(radiation)에 기판을 노출시키는 단계를 포함한다.
본 발명의 실시예들은 또한, 프로세싱 챔버에서 반도체 디바이스를 형성하기 위한 방법을 제공한다. 일 실시예에서, 방법은 탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 증착 챔버 내로 도입하는 것, 및 기판 상에 저-수소 함량 비정질 탄소 층을 형성하기 위해서 약 200 ℃ 내지 약 700 ℃의 온도에서 증착 챔버 내에서 가스 혼합물로부터 플라즈마를 생성하는 것에 의해서 프로세싱 시스템 내에 로케이팅된 증착 챔버에서 기판 상에 비정질 탄소 층을 형성하는 단계, 진공을 파괴하지 않고 기판을 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계, 약 200 ℃ 초과의 경화 온도에서 경화 챔버 내에서 기판 상에 형성된 비정질 탄소 층을 UV 복사선에 노출시키는 단계, 비정질 탄소 층의 적어도 하나의 영역에 패턴을 정의하는 단계, 및 비정질 탄소 층을 마스크로서 이용하여 비정질 탄소 층의 적어도 하나의 영역에 정의된 패턴을 기판 내로 전사하는 단계를 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 실시예들에 따른, 비정질 탄소 층을 증착하기 위해서 이용될 수 있는 기판 프로세싱 시스템의 개략적인 도면이다.
도 2는 본 발명의 실시예들에 따른, 비정질 탄소 층의 경화를 위해서 이용될 수 있는 예시적인 탠덤(tandem) 프로세싱 챔버의 횡단면도를 도시한다.
도 3a-3e는, 비정질 탄소 층을 하드마스크로서 포함하는, 집적 회로 제조 시퀀스의 상이한 스테이지들에서의 기판의 개략적인 횡단면도들을 도시한다.
도 4는 본 발명의 실시예들에 따른, 비정질 탄소 층을 형성하기 위한 예시적인 제조 프로세스를 도시한다.
도 5는 여기에서 설명된 실시예들에 따라 형성된 비정질 탄소 층 대 통상적인 비정질 탄소 필름에 대한 패터닝 성능의 비교를 설명하는 주사 전자 현미경 이미지들을 도시한다.
증착 프로세스를 위한 예시적인 하드웨어
도 1은, 여기에서 설명된 실시예들에 따라 비정질 탄소 층 증착을 위해서 이용될 수 있는 기판 프로세싱 시스템(100)의 개략적인 도면이다. 적합한 챔버의 예들은 DxZTM 프로세스 챔버를 이용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER SETM 프로세스 챔버 및 PRODUCER GTTM 프로세스 챔버와 같은 PRODUCERTM 시스템들을 포함하고, 이들 모두는 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. 여기에서 설명된 프로세스들이, 다른 제조자들로부터의 시스템들을 포함하여 다른 기판 프로세싱 시스템들에서 실시될 수 있다는 점이 고려된다.
시스템(100)은 프로세스 챔버(125), 가스 패널(130), 제어 유닛(110), 및 전력 공급부들 및 진공 펌프들과 같은 다른 하드웨어 구성요소들을 포함한다. 여기에서 설명된 실시예들에서 이용되는 시스템의 일 실시예에 대한 추가적인 상세 내용이, 본원과 양수인이 동일하고 2002년 4월 2일자로 허여되었으며 미국 특허 번호는 제 6,364,954 호인, 미국 특허 "고온 화학적 기상 증착 챔버"에 설명되어 있다.
프로세스 챔버(125)는 일반적으로, 반도체 기판(190)과 같은 기판을 지지하기 위해서 이용되는 기판 지지 받침대(150)를 포함한다. 이러한 기판 지지 받침대(150)는 샤프트(160)에 커플링된 변위 메커니즘(미도시)을 이용하여 프로세스 챔버(125) 내부에서 수직 방향으로 이동한다. 프로세스에 따라서, 프로세싱에 앞서서, 반도체 기판(190)이 원하는 온도까지 가열될 수 있다. 기판 지지 받침대(150)는 매립형(embedded) 히터 요소(170)에 의해서 가열된다. 예를 들어, 전력 공급부(106)로부터 히터 요소(170)로 전기 전류를 인가하는 것에 의해서 기판 지지 받침대(150)가 저항식으로 가열될 수 있다. 열전대(thermocouple)와 같은 온도 센서(172)가 또한, 기판 지지 받침대(150) 내에 매립되어, 기판 지지 받침대(150)의 온도를 모니터링한다. 측정된 온도는 전력 공급부(106)를 히터 요소(170)에 대해 제어하기 위한 피드백 루프에 이용된다.
진공 펌프(102)는 프로세스 챔버(125)를 진공배기(evacuate)하고 프로세스 챔버(125) 내부에서 적절한 가스 유동들 및 압력을 유지하는데 이용된다. 프로세스 가스들이 프로세스 챔버(125) 내로 도입될 때 통과하는 샤워헤드(120)는 기판 지지 받침대(150) 위에 로케이팅되고 프로세스 챔버(125) 내로의 프로세스 가스들의 균일한 분배를 제공하도록 이루어진다. 샤워헤드(120)는 가스 패널(130)에 연결되고, 가스 패널(130)은 프로세스 시퀀스의 상이한 단계들에서 이용되는 여러 가지 프로세스 가스들을 제어 및 공급한다. 프로세스 가스들은 탄화수소 가스, 수소와 같은 희석 가스, 및 선택적으로, 플라즈마-개시(initiating) 가스를 포함할 수 있고, 예시적인 비정질 탄소 층 증착 프로세스에 관한 설명과 함께 이하에서 더 구체적으로 설명된다.
가스 패널(130)은 또한, 여러 가지 증기화된(vaporized) 액체 전구체들을 제어 및 공급하기 위해서 이용될 수 있다. 도시되지는 않았지만, 액체 전구체 공급부로부터의 액체 전구체들이, 예를 들어, 액체 분사 증기화 장치(liquid injection vaporizer)에 의해서 증기화될 수 있고, 캐리어 가스가 존재할 때 프로세스 챔버(125)로 전달될 수 있다. 캐리어 가스는 전형적으로, 질소와 같은 불활성 가스, 또는 아르곤이나 헬륨과 같은 희가스(noble gas)이다. 대안적으로, 액체 전구체는 열 및/또는 진공 강화(enhanced) 증기화 프로세스에 의해서 앰풀(ampoule)로부터 증기화될 수 있다.
또한 샤워헤드(120) 및 기판 지지 받침대(150)는 이격된 전극들의 쌍을 형성할 수 있다. 전기장이 이러한 전극들 사이에서 생성될 때, 챔버(125) 내로 도입되는 프로세스 가스들이 플라즈마(192)로 점화된다(ignited). 전형적으로, 기판 지지 받침대(150)를 매칭 네트워크(미도시)를 통해서 단일-주파수 또는 이중-주파수 무선 주파수(RF) 전력의 소스(미도시)에 연결하는 것에 의해서 전기장이 생성된다. 대안적으로, RF 전력 소스 및 매칭 네트워크는 샤워헤드(120)에 커플링될 수 있거나, 또는 샤워헤드(120) 및 기판 지지 받침대(150) 양쪽 모두에 커플링될 수 있다.
가스 패널(130)을 통한 가스 및 액체 유동들의 적절한 제어 및 조절이 질량 유동 제어기들(미도시) 및 컴퓨터와 같은 제어 유닛(110)에 의해서 실시된다. 샤워헤드(120)는 프로세스 가스들이 가스 패널(130)로부터 프로세스 챔버(125) 내로 균일하게 분배되고 도입될 수 있게 한다. 예시적으로, 제어 유닛(110)은 중앙 프로세싱 유닛(CPU)(112), 지원 회로망(114), 및 연관된 제어 소프트웨어를 포함하는 메모리들(116)을 포함한다. 프로세스 가스 혼합물이 샤워헤드(120)를 빠져나갈 때, 탄화수소 화합물의 플라즈마 강화 열 분해가 반도체 기판(190)의 표면(195)에서 발생되어, 반도체 기판(190) 상에서의 비정질 탄소 층의 증착을 초래한다.
UV 경화 프로세스를 위한 예시적인 하드웨어
도 2는, 여기에서 설명된 실시예들에 따른 비정질 탄소 층의 경화를 위해서 이용될 수 있는, 예시적인 탠덤 프로세싱 챔버(200)의 횡단면도를 도시한다. 프로세싱 챔버(200)는, 기판들을 프로세싱하기 위한 2개의 분리되고 인접한, 챔버 본체의 프로세싱 영역들을 제공한다. 프로세싱 챔버(200)는 일반적으로 덮개(202), 하우징들(204) 및 전력 소스들(206)을 가진다. 하우징들(204)의 각각은, 본체(262) 내에 정의된 2개의 프로세싱 영역들(260) 위에 각각 배치된 2개의 UV 램프 전구들(222) 중 각각의 하나를 커버한다. 프로세싱 영역들(260)의 각각은, 프로세싱 영역들(260) 내에서 기판(226)을 지지하기 위한 기판 지지부(224)와 같은 기판 가열 지지부, 및 가스 배기 포트(240)를 포함한다. UV 램프 전구들(222)은, 윈도우들(208) 및 가스 분배 샤워헤드들(210)을 통해서 각각의 프로세싱 영역 내에 로케이팅되는 각각의 기판 상으로 지향되는 UV 광을 방출한다. 일 실시예에서, 가스 분배 샤워헤드(210)는 선택적일 수 있고 프로세싱 챔버(200)에 불필요하다. 기판 지지부들(224)은 세라믹 또는 알루미늄과 같은 금속으로 제조될 수 있다. 기판 지지부들(224)은 스템들(228)에 커플링될 수 있고, 스템들(228)은 본체(262)의 바닥부를 통해서 연장하고 구동 시스템들(230)에 의해서 동작되어 프로세싱 영역들(260)의 기판 지지부들(224)을 UV 램프 전구들(222)을 향해서 그리고 UV 램프 전구들(222)로부터 멀리 이동시킨다. 기판 조사(illumination)의 균일성을 추가적으로 강화시키기 위해서 경화 중에, 구동 시스템들(230)은 또한, 기판 지지부들(224)을 회전 및/또는 병진운동(translate)시킬 수 있다. 예시적인 탠덤 프로세싱 챔버(200)는 도 1에 도시된 프로세싱 시스템(100)과 같은 프로세싱 시스템, 또는 도 1과 관련하여 전술한 임의의 다른 시스템들 내에 통합될 수 있다.
UV 램프 전구들(222)은 현재 기술 수준의 UV 조사 소스들 중 임의의 것을 이용하는 발광 다이오드들 또는 전구들의 어레이일 수 있고, 이러한 UV 조사 소스들은 마이크로파 아크들(arcs), 무선 주파수 필라멘트(용량 결합 플라즈마) 및 유도 결합 플라즈마(ICP) 램프들을 포함하지만 이에 제한되지는 않는다. 경화 프로세스 중에 UV 광은 펄싱될 수 있다. 기판 조사의 균일성을 강화시키기 위한 여러 가지 컨셉들은, 입사 광의 파장 분배를 변화시키기 위해서 또한 이용될 수 있는 램프 어레이들의 이용, 회전 및 주기적인 병진운동(스위핑(sweeping))을 포함하는 기판 및 램프 헤드의 상대 운동, 및 램프 반사기 형상 및/또는 포지션의 실-시간 변경을 포함한다. UV 전구들은 자외선 복사선의 소스이고, 그리고 UV 및 적외선(IR) 복사선의 파장들의 넓은 스펙트럼 범위를 전달할 수 있다.
UV 램프 전구들(222)은 170 nm 내지 400 nm의 파장들의 넓은 밴드(band)에 걸쳐 광을 방출할 수 있다. UV 램프 전구들(222) 내에서 이용하기 위해서 선택되는 가스들은 방출되는 파장들을 결정할 수 있다. UV 램프 전구들(222)로부터 방출되는 UV 광은 덮개(202)의 개구들에 배치된 윈도우들(208) 및 가스 분배 샤워헤드들(210)을 통과하는 것에 의해서 프로세스 영역들(260)로 진입한다. 윈도우들(208)은 OH를 가지지 않는 합성 석영 유리로 제조될 수 있고, 균열(cracking) 없이 진공을 유지하기에 충분한 두께를 가진다. 윈도우들(208)은, 대략 150 nm 까지 이르는 UV 광을 전달하는 용융 실리카(fused silica)일 수 있다. 가스 분배 샤워헤드들(210)은 석영 또는 사파이어와 같은 투명한 재료들로 제조될 수 있고, 윈도우들(208) 및 기판 지지부(224) 사이에 포지셔닝될 수 있다. 덮개(202)가 본체(262)에 대해서 밀봉하고 윈도우들(208)이 덮개(202)에 대해서 밀봉되기 때문에, 프로세싱 영역들(260)은 대략 1 Torr 내지 대략 650 Torr의 압력들을 유지할 수 있는 용적들을 제공한다. 프로세싱 또는 세정 가스들은 2개의 유입구 통로들(232) 중 각각의 하나를 통해서 프로세싱 영역들(260)로 진입할 수 있다. 그런 다음에, 프로세싱 또는 세정 가스들은 공통 배출구 포트(234)를 통해서 프로세싱 영역들(260)을 빠져나간다.
하우징들(204)의 각각은 전력 소스들(206) 근처의 개구(215)를 포함한다. 하우징들(204)은 이색성(dichroic) 필름으로 코팅된 주조(cast) 석영 라이닝(236)에 의해서 정의된 내부 포물선형 표면을 포함할 수 있다. 이색성 필름은 일반적으로, 교번적인(alternating) 높은 및 낮은 굴절률을 갖는 다양한 유전체 재료들로 이루어진 주기적인 다층 필름을 구성한다. 그에 따라, 석영 라이닝들(236)은 적외선 광을 전달할 수 있고 UV 램프 전구들(222)로부터 방출된 UV 광을 반사할 수 있다. 석영 라이닝들(236)은, 내부 포물선형 표면의 형상을 이동 및 변화시키는 것에 의해서, 각각의 프로세스 또는 태스크(task)에 더 잘 적합하도록 조정할 수 있다. 프로세싱 챔버(200)는 Baluja 등이 2011년 9월 29일자로 출원한, 본원과 양수인이 동일한 미국 특허 출원 제 13/248,656 호에 더 설명되어 있는데, 이 특허출원은 그 전체가 인용에 의해 포함된다.
a-C 층을 하드마스크로서 포함하는 예시적인 제조 시퀀스
도 3a-3e는 a-C 층을 하드마스크로서 포함하는 집적 회로 제조 시퀀스의 상이한 스테이지들에서의 기판(300)의 개략적인 횡단면도들을 도시한다. a-C 층은, 각각, 도 1 및 도 2와 관련하여 전술된 프로세싱 시스템(100) 및 프로세싱 챔버(200)를 이용하여 증착되고 경화될 수 있다. 기판 구조물(350)은 기판(300) 상에 형성된 다른 재료 층들과 함께 기판(300)을 표시한다. 도 3a는, 통상적으로 상부에 형성되어 온 재료 층(302)으르 갖는 기판 구조물(350)의 횡단면도이다. 재료 층(302)은 저-k 재료 및/또는 산화물, 예를 들어, SiO2 일 수 있다.
도 3b는 도 3a의 기판 구조물(350) 상에 배치된 비정질 탄소 층(304)을 도시한다. 비정질 탄소 층(304)은, PECVD를 통해서와 같이, 통상적인 수단에 의해서 기판 구조물(350) 상에 형성된다. 제조 시퀀스에서 이용되는 에너지 민감성 레지스트 재료(energy sensitive resist material; 308)의 에칭 화학반응(chemistry)에 따라, 선택적인 캡핑 층(capping layer)(미도시)이 에너지 민감성 레지스트 재료(308)의 형성에 앞서서 비정질 탄소 층(304) 위에 형성될 수 있다. 선택적인 캡핑 층은, 패턴이 내부로 전사될 때, 비정질 탄소 층(304)을 위한 마스크로서 기능하고 비정질 탄소 층(304)을 에너지 민감성 레지스트 재료(308)로부터 보호한다.
도 3b에 도시된 바와 같이, 에너지 민감성 레지스트 재료(308)는 비정질 탄소 층(304) 상에 형성된다. 에너지 민감성 레지스트 재료(308)의 층은 원하는 두께로 기판 상에서 스핀-코팅될 수 있다. 대부분의 에너지 민감성 레지스트 재료들은 약 450 nm 미만의 파장을 갖는, 그리고 일부 어플리케이션들을 위해서 245 nm 또는 193 nm의 파장들을 갖는 자외선(UV) 복사선에 대해서 민감하다.
에너지 민감성 레지스트 재료(308)를 마스크(310)와 같은 패터닝 디바이스를 통해서 UV 복사선(330)에 노출시키는 것에 의해서 그리고 후속하여 적절한 현상기(developer) 내에서 에너지 민감성 레지스트 재료(308)를 현상하는 것에 의해서, 패턴이 에너지 민감성 레지스트 재료(308)의 층 내로 도입된다. 에너지 민감성 레지스트 재료(308)가 현상된 후에, 도 3c에 도시된 바와 같이, 개구부들(340)로 이루어진 원하는 패턴이 에너지 민감성 레지스트 재료(308)에 존재한다.
그 후에, 도 3d를 참조하면, 에너지 민감성 레지스트 재료(308)를 마스크로서 이용하여, 에너지 민감성 레지스트 재료(308) 내에 정의된 패턴이 비정질 탄소 층(304)을 통해서 전사된다. 에너지 민감성 레지스트 재료(308) 및 재료 층(302)에 우선하여 비정질 탄소 층(304)을 선택적으로 에칭하는 적절한 화학적 에칭제(etchant)가 이용되어 개구부들(340)을 재료 층(302)의 표면까지 연장시킨다. 적절한 화학적 에칭제들은 오존, 산소 또는 암모니아 플라즈마들이 포함한다.
도 3e를 참조하면, 그런 다음에, 비정질 탄소 층(304)을 하드마스크로서 이용하여, 패턴이 재료 층(302)을 통해서 전사된다. 이러한 프로세스 단계에서, 건식 에칭, 즉 비-반응성 플라즈마 에칭과 같이, 비정질 탄소 층(304)에 우선하여 재료 층(302)을 선택적으로 제거하는 에칭제가 이용된다. 재료 층(302)이 패터닝된 후에, 비정질 탄소 층(304)이 기판(300)으로부터 선택적으로 스트립될(stripped) 수 있다.
a-C 하드마스크의 예시적인 제조 프로세스
도 4는 본 발명의 일 실시예에 따른 비정질 탄소 층을 형성하기 위한 예시적인 제조 프로세스(450)를 도시한다. 프로세스(450)에 의해서 형성된 비정질 탄소 층은, 도 3b-3e와 관련하여 전술된 바와 같은 비정질 탄소 층(304) 대신에 이용될 수 있다. 전술한 바와 같이, 비정질 탄소는, 비정질 탄소의 높은 화학적 불활성, 광학적 투명도, 및 용이한 제거로 인해서, 반도체 어플리케이션들에서 에칭 하드마스크로서 이용될 수 있는 재료이다. 본 발명자들에 의해서, 이하에서 설명되는 본 발명의 양태들이, 감소된 압축 필름 응력, 높은 필름 밀도, 및 그에 따른 더 높은 에칭 선택비를 갖는 비정질 탄소 필름을 생성할 수 있다는 것이 입증되었다. 높은 에칭 선택비는, 에칭 마진을 개선하고 더 작은 피쳐들의 제어가능한 에칭을 허용하는 더욱 더 얇은 하드마스크를 가능하게 하고, 그에 의해서 라인 요동 및 라인 벤딩과 연관된 통상적인 문제들을 갖지 않고, 45 nm 아래의 디바이스들에 대한 우수한 라인 무결성을 획득한다. 도 4에 도시된 단계들의 시퀀스가 여기에서 설명되는 본 발명의 범위에 대해서 제한적인 것으로 의도되지 않는다는 것이 주지되어야 하는데, 이는 본 발명의 기본적인 범위로부터 벗어나지 않으면서 하나 또는 둘 이상의 단계들이 부가, 제거 및/또는 재배열될 수 있기 때문이다.
프로세스(450)는, 증착 프로세싱 챔버에서 비정질 탄소 층을 증착하는 것에 의해서, 단계(402)에서 시작한다. 비정질 탄소 층은, 탄화수소 가스, 희석 가스, 및 선택적으로, 플라즈마-개시 가스를 프로세스 챔버, 예컨대 도 1에 대해서 전술한 프로세싱 시스템(100) 내로 도입하는 단계를 포함하는 프로세스에 의해서 형성될 수 있다. 일 실시예에서, 비정질 탄소 층은, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수 가능한 Advanced Patterning FilmTM(APF) 재료일 수 있다. 일 실시예에서, 탄화수소 가스는, 하나 또는 둘 이상의 탄화수소 화합물들, 및, 선택적으로, 아르곤과 같은 캐리어 가스의 혼합물이다.
일 실시예에서, 탄화수소 가스 내에 포함될 수 있는 탄화수소 화합물들 또는 그의 유도체들이 화학식 CxHy 에 의해서 설명될 수 있고, 여기에서 x 는 1 내지 10의 범위를 갖고 y 는 2 내지 30의 범위를 갖는다. 탄화수소 화합물들은, 프로판, 메탄, 에탄, 부탄 및 그의 이성질체 이소부탄, 펜탄 및 그의 이성질체 이소펜탄 및 네오펜탄, 헥산 및 그의 이성질체 2-메틸펜탄, 3-메틸 펜탄, 2,3-디메틸부탄, 및 2,2-디메틸 부탄, 등과 같은 알칸들; 에틸렌, 프로필렌, 부틸렌 및 그의 이성질체들, 및 펜텐 및 그의 이성질체들 등과 같은 알켄들, 부타디엔, 이소프렌, 펜타디엔, 및 헥사디엔 등과 같은 디엔들, 및 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 및 테트라클로로에틸렌 등을 포함하는 할로겐화 알켄들; 아세틸렌, 프로핀, 부틴, 비닐아세틸렌 및 그의 유도체들과 같은 알킨들; 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란, 알파-테르피넨, 시멘, 1,1,3,3-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸메타크릴레이트, 및 t-부틸푸르푸릴에테르와 같은 방향족, 화학식 C3H2 및 C5H4을 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 및 헥사플루오로벤젠 등을 포함하는 할로겐화 방향족 화합물들을 포함할 수 있는데, 이에 제한되지는 않는다.
일 실시예에서, 탄화수소 화합물들은, 탄화수소 화합물들의 불소-, 산소-. 히드록실기-, 및 보론-함유 유도체들을 포함하는, 탄화수소 화합물들의 부분적으로 또는 완전히 도핑된 유도체들일 수 있다.
적합한 희석 가스들은 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), CO, CO2, 및 이들의 조합들을 포함할 수 있지만, 이에 제한되지는 않는다. 일 예에서, 수소 가스가 희석 가스로서 이용된다. 원하는 경우에, 다른 가스들 중에서, 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 가스들이 가스 혼합물에 부가될 수 있다. Ar, He, 및 N2 는 비정질 탄소 층의 밀도 및 증착 레이트를 제어하는데 이용될 수 있다. N2 및/또는 NH3 의 부가는 비정질 탄소 층의 수소 비율을 제어하는데 이용될 수 있다.
특정 실시예들에서, 비정질 탄소 층 증착 프로세스는 플라즈마-개시 가스의 이용을 포함하고, 플라즈마-개시 가스는 증착을 시작하기 위해서 탄화수소 화합물 및 플라즈마가 개시될 때 그 이전에, 그 이후에, 및/또는 그와 동일한 시간에 챔버 내로 도입된다. 플라즈마-개시 가스는 높은 이온화 전위(high ionization potential) 가스일 수 있고, 이러한 가스는 헬륨 가스, 수소 가스, 질소 가스, 아르곤 가스 및 이들의 조합들을 포함하지만 이에 제한되지는 않는다. 플라즈마-개시 가스는 또한, 헬륨 가스, 질소 가스, 아르곤 가스, 및 이들의 조합들과 같이 화학적으로 불활성인 가스일 수 있다. 가스들을 위한 적합한 이온화 전위들은 약 5 eV(전자 전위) 내지 25 eV이다. 플라즈마-개시 가스는 탄화수소 가스 이전에 챔버 내로 도입될 수 있고, 이는 안정적인 플라즈마가 형성되는 것을 허용하고 아킹(arcing)의 기회들을 감소시킨다. 아르곤과 같은 불활성 가스는 희석 가스 및/또는 캐리어로서 이용될 수 있고, 플라즈마-개시 가스, 탄화수소 가스, 또는 이들의 조합들과 함께 도입될 수 있다.
일 실시예에서, 탄화수소 화합물 및 희석 가스는, 비정질 탄소 층 증착에 대해서, 약 1:3 또는 그 초과, 예를 들어 약 1:32 내지 1:3, 예컨대 약 1:15 내지 약 1:8의 탄화수소 화합물 대 희석 가스 유동 비율로 도입될 수 있다. 일 실시예에서, 탄화수소 화합물 및 희석 가스는, 약 1:12 또는 그 초과, 예를 들어 약 1:18 내지 약 1:14의 탄화수소 화합물 대 희석 가스 유동 비율로 도입될 수 있다. 일 실시예에서, 탄화수소 화합물 및 희석 가스는, 약 1:15 또는 그 초과의 탄화수소 화합물 대 희석 가스 유동 비율로 도입될 수 있다. 일 실시예에서, 탄화수소 화합물 및 희석 가스는, 약 1:32 내지 약 1:18의 탄화수소 화합물 대 희석 가스 유동 비율로 도입될 수 있다. 일 예에서, 희석 가스는 수소 가스이다. 탄화수소 화합물의 양에 비해 많은 양의 희석 가스, 예를 들어 수소 가스를 증착 챔버 내로 도입하는 것이, 성장 중에 비정질 탄소 층으로부터의 -H 원자들의 제거를 추가적으로 강화시킬 수 있다는 것이 관찰되었다. 비정질 탄소 층이 많은 C-C 결합들, C=C 결합들, 및 C-H 결합들을 갖기 때문에, 수소 가스는 비정질 탄소 층 증착 중에 에칭 가스로서 역할할 수 있다. 증착 중에, 예를 들어, 수소가 파괴되어 수소 라디칼들이 되고, 그러한 라디칼들은 비정질 탄소 층의 표면 상의 수소 루즈(loose) 결합들에 부착될 수 있으며 수소 가스를 형성할 수 있고, 그에 의해서 성장 중에 비정질 탄소 층으로부터 -H 원자들을 제거할 수 있다.
특정 실시예들에서, 비정질 탄소 층 내의 -H 함량을 추가적으로 낮추기에 증착 레이트가 너무 빨라지지 않도록 하는(즉, 2000 Å/분 미만) 방식으로 증착 프로세스가 튜닝될 수 있다.
비정질 탄소 층은 약 0.5 Torr 또는 그 초과, 예컨대 약 0.5 Torr 내지 약 20 Torr 의 챔버 압력을 유지하는 것에 의해서, 그리고 일 실시예에서는 약 6 Torr 또는 그 초과, 예를 들어, 약 6 Torr 내지 약 8 Torr 의 챔버 압력을 유지하는 것에 의해서 프로세스 가스로부터 증착될 수 있다. 일 실시예에서, 챔버 압력은 약 1 Torr 내지 약 9 Torr, 예를 들어, 약 3 Torr에서 유지될 수 있다.
비정질 탄소 층은, 약 25 ℃ 내지 약 800 ℃의 기판 온도, 예컨대, 약 200 ℃ 내지 약 700 ℃의 온도 또는 약 300 ℃ 내지 약 650 ℃의 온도, 예를 들어 약 480 ℃ 내지 약 650 ℃를 유지하는 챔버의 탄화수소 가스 및 희석 가스로부터 증착될 수 있다. 고온에서 비정질 탄소 층을 증착하는 것은, 우선, 증착된 그대로의(as-deposited) 층이 적은 양의 -H 함량 및 양호한 열 안정성(thermal stability)을 가지도록 보장한다. 또한, 증가된 온도들에서 비정질 탄소 층을 증착하는 것이, 표면 원자들의 강화된 확산으로 인한, 결함들의 더 양호한 제거, 및 필름의 에칭 선택비를 상응하여 개선하는 더 조밀한 필름을 제공한다는 것이 관찰되었다. 원하는 경우에, 약 200 ℃ 내지 약 300 ℃의 더 낮은 기판 온도가 이용될 수 있다.
비정질 탄소 층을 증착할 때, 샤워헤드와 기판 표면 사이의 전극 간격은 약 100 mils 내지 약 5,000 mils 간격, 예를 들어, 약 400 mils 간격일 수 있다.
플라즈마가 이용되는 특정 실시예들에서, 증착을 시작하기 위해서, 탄화수소 가스, 희석 가스, 및 플라즈마-개시 가스가 챔버 내로 도입되고 플라즈마가 개시된다. 이중-주파수 RF 시스템이 플라즈마를 생성하기 위해서 이용될 수 있다. 이중 주파수 RF 전력 인가는 플럭스(flux) 및 이온 에너지의 독립적인 제어를 제공하는 것으로 여겨지는데, 이는 필름 표면을 타격하는 이온들의 에너지가 필름 밀도에 영향을 미치는 것으로 여겨지기 때문이다. 높은 주파수 플라즈마가 플라즈마 밀도를 제어하고 낮은 주파수 플라즈마가 기판 표면을 타격하는 이온들의 운동 에너지를 제어하는 것으로 여겨진다. 혼합된 RF 전력의 이중-주파수 소스는 약 10 MHz 내지 약 30 MHz, 예를 들어, 약 13.56 MHz의 높은 주파수 전력뿐만 아니라, 약 10 KHz 내지 약 1 MHz, 예를 들어, 약 350 KHz의 낮은 주파수 전력을 제공한다. 비정질 탄소 층을 증착하기 위해서 이중 주파수 RF 시스템이 이용될 때, 제 2 RF 전력 대 전체 혼합된 주파수 전력의 비율은 바람직하게 약 0.6 대 1.0 (0.6:1) 미만이다. 인가된 RF 전력 및 하나 또는 둘 이상의 주파수들의 사용은 이용되는 기판 크기 및 장비에 기초하여 달라질 수 있다. 특정 실시예들에서, 단일 주파수 RF 전력 인가가 이용될 수 있고, 전형적으로, 여기에서 설명된 바와 같은 높은 주파수 전력의 인가이다.
플라즈마는, 약 0.01 W/㎠ 내지 약 5 W/㎠, 예컨대 약 0.01 내지 약 2 W/㎠, 예를 들어 약 1.55 W/㎠ 의 전력 밀도 대 기판 표면적으로 RF 전력을 인가하는 것에 의해서 생성될 수 있다. 전력 인가는, 300 mm 기판에 대해서, 약 1 Watt 내지 약 2,000 watts, 예컨대 약 1,000 W 내지 약 1,700 W, 예를 들어 약 1,400 W일 수 있다. 특정 실시예들에서, 전력 인가는 약 500 watts 내지 약 600 watts일 수 있다.
300 mm 원형 기판 상에 비정질 탄소 층을 증착하기 위한 예시적인 증착 프로세스는 고온에서 이루어지고 아르곤과 같은 플라즈마-개시 가스, 프로필렌(C3H6)과 같은 탄화수소 가스, 및 수소(H2)와 같은 희석 가스를 채택한다. 프로세스는 아르곤과 같은 플라즈마-개시 가스를 약 0 sccm 내지 약 50,000 sccm, 예를 들어, 약 0 sccm 내지 약 8000 sccm의 유량으로 공급하는 단계, 프로필렌(C3H6)과 같은 탄화수소 가스를 약 100 sccm 내지 약 50,000 sccm, 예를 들어, 약 600 sccm 내지 약 3000 sccm의 유량으로 공급하는 단계, 및 수소(H2)와 같은 희석 가스를 약 10 sccm 내지 약 20,000 sccm, 예를 들어, 약 200 sccm 내지 약 8000 sccm의 유량으로 공급하는 단계, 약 10 W 내지 약 2,000 W, 예를 들어 약 500 W 내지 1800 W의 이중 주파수 RF 전력(약 13.56 MHz)을 인가하는 단계, 약 0.5 Torr 내지 약 20 Torr, 예를 들어, 약 1 Torr 내지 15 Torr의 챔버 압력을 유지하는 단계, 및 약 200 ℃ 초과, 예를 들어 약 480 ℃ 내지 약 650 ℃의 기판 온도를 유지하는 단계를 포함한다. 약 1:32 내지 1:10의 탄화수소 화합물 대 희석 가스 유동 비율을 달성하도록 탄화수소 가스 및 희석 가스의 유량들이 조정될 수 있다. 이러한 프로세스 범위는, 약 100 Å/분 내지 약 5,000 Å/분 범위의, 비정질 탄소 층을 위한 증착 레이트를 제공한다. 여기에서의 개시 내용을 읽은 당업자는, 상이한 증착 레이트들의 비정질 탄소 층을 생성하기 위해서 적절한 프로세스 매개변수들을 계산할 수 있다.
300 mm 원형 기판 상에 비정질 탄소 층을 증착하기 위한 다른 예시적인 증착 프로세스는 저온에서 이루어지고, 아르곤과 같은 플라즈마-개시 가스, 아세틸렌(C2H2)과 같은 탄화수소 가스, 및 수소(H2)와 같은 희석 가스를 채택한다. 프로세스는 아르곤과 같은 플라즈마-개시 가스를 약 0 sccm 내지 약 50,000 sccm, 예를 들어, 약 0 sccm 내지 약 15,000 sccm의 유량으로 공급하는 단계, 아세틸렌(C2H2)과 같은 탄화수소 가스를 약 100 sccm 내지 약 50,000 sccm, 예를 들어, 약 500 sccm 내지 약 3000 sccm의 유량으로 공급하는 단계, 및 수소(H2)와 같은 희석 가스를 약 10 sccm 내지 약 20,000 sccm, 예를 들어, 약 500 sccm 내지 약 9000 sccm의 유량으로 공급하는 단계, 약 10 W 내지 약 2,000 W, 예를 들어 약 500 W 내지 1800 W의 이중 주파수 RF 전력(약 13.56 MHz)을 인가하는 단계, 약 0.5 Torr 내지 약 20 Torr, 예를 들어, 약 1 Torr 내지 15 Torr의 챔버 압력을 유지하는 단계, 및 약 200 ℃ 내지 약 650 ℃의 기판 온도를 유지하는 단계를 포함한다. 약 1:32 내지 1:10의 탄화수소 화합물 대 희석 가스 유동 비율을 달성하기 위해서 탄화수소 가스 및 희석 가스의 유량들이 조정될 수 있다. 여기에서의 개시내용을 읽은 당업자는, 상이한 증착 레이트들의 비정질 탄소 층을 생성하기 위해서 적절한 프로세스 매개변수들을 계산할 수 있다.
예:
이하의 비-제한적인 예는 비정질 탄소 증착과 관련하여 여기에서 설명된 실시예들을 추가적으로 설명하기 위해서 제공된다. 그러나, 예들은 모두를 포괄하도록 의도된 것이 아니고 여기에서 설명된 실시예들의 범위를 제한하도록 의도된 것이 아니다.
2000Å 비정질 탄소 층이 Producer® 시스템에서 기판 상에 증착되었다. 비정질 탄소 층은 다음의 단계: 아르곤의 약 1600 sccm의 유량을 프로세스 챔버에 제공하는 단계, 수소(H2)의 약 4000 sccm의 유량을 프로세스 챔버에 제공하는 단계, 프로필렌(C3H6)의 약 1000 sccm의 유량을 프로세스 챔버에 제공하는 단계, 고주파 RF 전력(13.56 MHz)을 약 500 W/㎠ 내지 약 1700 W/㎠ 의 전력 밀도 대 기판 표면적으로 인가하는 단계, 약 575 ℃의 증착 온도를 유지하는 단계, 약 350 mils의 간격으로, 약 100 초의 시간 기간 동안 약 11 Torr의 챔버 압력을 유지하는 단계를 따라 증착되었다.
단계(404)에서, 비정질 탄소 층이 기판 상에 증착된 후에, 비정질 탄소 층의 UV 경화를 위해서, 도 2와 관련하여 전술된 탠덤 프로세싱 챔버(200)와 같은 UV 챔버로 기판이 이송된다. UV 경화 프로세스는 동일한 프로세싱 시스템 내에서 인 시츄로(in situ) 실시될 수 있고, 예를 들어, 도 1과 관련하여 전술된 프로세스 챔버(125)로부터 진공 파괴 없이 시스템 내의 탠덤 프로세싱 챔버(200)로 이송될 수 있다. 증착된 비정질 탄소 층을 UV 경화 프로세스로 처리하는 것은 -H 함량에 있어서 상당히 개선된 감소를 나타냈는데, 이는 UV 복사선이 증착된 비정질 탄소 층 내에서 더 많은 C:C 체인들을 파괴하고(그에 따라 더 짧은 체인들을 생성하고) 더 많은 C:H 결합을 파괴하며, 그리고 탄소 원자들의 결합 하이브리다이제이션(bonding hybridization) 및 sp3-하이브리다이즈드 클러스터(크게 무질서화된(highly disordered) 구조, 압축 응력 영역)으로부터 sp2-하이브리다이즈드 클러스터(질서화된 구조, 응력-없는/약간의 인장 영역)까지의 상이한 결합들의 상대적인 농도를 변화시키기 때문이다. 그에 따라, 비정질 탄소 층의 필름 밀도가 증가되고, 이는 결과적으로 하드마스크 필름들에 대한 더 높은 에칭 선택비로 이어진다.
일 실시예에서, 상부에 비정질 탄소가 증착된 기판이 약 1,000 milliWatts/㎠ 내지 약 1,500 milliWatts/㎠, 예를 들어, 약 500 milliWatts/㎠ 내지 약 1,350 milliWatts/㎠ 의 UV 파워의 UV 복사선에 노출된다. 일 예에서, 증착된 비정질 탄소 층이 약 10초 내지 약 900초, 예컨대 약 300초 내지 약 600초 동안 UV 복사선에 노출될 수 있다. UV 복사선은 UV 파장들의 범위를 포함할 수 있고 하나 또는 둘 이상의 동시적인(simultaneous) 파장들을 포함할 수 있다. 적합한 UV 파장들은 약 1 nm 내지 약 400 nm를 포함하고, 그리고 약 600 또는 780 nm까지의 광학적 파장들을 더 포함할 수 있다. 일 예에서, UV 파장들은 약 100 nm 내지 약 350 nm를 포함한다. UV 복사선 인가는 다수의 파장들에서, 튜닝 가능한 파장 방출 및 튜닝 가능한 파워 방출에서, 또는 원하는 대로 복수의 파장들 사이의 변조(modulation)에서 이루어질 수 있고, 그리고 단일 UV 램프로부터 방출될 수 있거나 또는 UV 램프들의 어레이로부터 인가될 수 있다.
UV 경화 프로세싱 중에, 프로세싱 챔버의 온도는, 예를 들어 약 1 mTorr 미만의 진공으로부터 약 대기압 즉, 760 Torr까지, 예를 들어, 약 100 Torr의 챔버 압력에서, 200 ℃ 초과로, 예를 들어 약 450 ℃ 내지 약 650 ℃로 유지될 수 있다. UV 복사선의 소스는 기판 표면으로부터 약 100 mils 내지 약 600 mils 사이일 수 있다. 선택적으로, 프로세싱 가스는 UV 경화 프로세스 중에 도입될 수 있다. 적합한 프로세싱 가스들에는 산소(O2), 질소(N2), 수소(H2), 헬륨(He), 아르곤(Ar), 수증기(H2O), 일산화탄소, 이산화탄소, 탄화수소 가스들, 탄화플루오로 가스들, 및 불소화된 탄화수소 가스들, 또는 이들의 조합들이 포함된다. 탄화수소 화합물들은 CXHY, CXFY, CXFYHZ, 또는 이들의 조합들의 화학식을 가질 수 있고, 여기에서 x 는 1 내지 6의 정수이고, y 는 4 내지 14의 정수이며, z 는 1 내지 3 사이의 정수이다.
예:
이하의 비-제한적인 예는 비정질 탄소 층의 UV 경화와 관련하여 여기에서 설명되는 실시예들을 더 설명하기 위해서 제공된다. 그러나, 예들은 모두를 포괄하는 것으로 의도된 것이 아니고 여기에서 설명된 실시예들의 범위를 제한하도록 의도된 것이 아니다.
증착된 비정질 탄소 층을 갖는 기판은 단계(402)에서 설명된 바와 같은 방식으로 약 550 ℃의 챔버 온도 및 약 1,350 milliWatts/㎠ 의 인가된 파워에서 그리고 약 400 nm의 파장에서 약 900 초 동안 UV 복사선에 노출되었다. UV 경화는 약 16,000 sccm의 Ar 유동, 약 16,000 sccm의 He 유동 및 6 Torr의 압력을 갖는 아르곤 및 헬륨 대기에서 실시될 수 있다. UV 경화 후에, 비정질 탄소 층은 약 20% 미만의 -H 함량 및 1.98 g/cc까지 증가된 필름 밀도를 나타낸다.
도 5는 여기에서 설명된 실시예들에 따라 형성된 비정질 탄소 층("A") 대 통상적인 비정질 탄소 필름("B")(예를 들어, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수 가능한, APF 550)에 대한 패터닝 성능의 비교를 설명하는 주사 전자 현미경 이미지들을 도시한다. 표 1은 여기에서 설명된 실시예들에 따라 형성된 비정질 탄소 층 대 APF 550에 대한 필름 성질들의 비교를 제공한다. 표 1에 기재된 결과들은, 광학적 성질들 및 필름 밀도와 같이 APF 550 필름과 유사한 많은 성질들을 제공하면서도, 여기에서 설명된 실시예들에 따라 형성된 비정질 탄소 층은, 통상적인 비정질 탄소 필름 APF 550(도 5 참조)에 비해, 20nm 또는 심지어 15nm의 임계 치수에서 라인 벤딩 제어(예를 들어, 20% 만큼 감소된 LER을 가짐) 및 2x 및 그 너머의 우수한 패터닝 성능을 나타낸다는 것을 보여준다.
본 발명의 실시예들에 따라 형성된 a:C 층 APF550
두께 (Å) 1969 2002
라인 엣지 거칠기(LER) 2.2 nm 2.6 nm
RI (248nm) 1.4975 1.4738
RI (633nm) 1.8673 1.8757
k (248nm) 0.6281 0.6745
k (633nm) 0.3548 0.3985
증착 레이트 2528 2487
응력 (MPa) 70 142
밀도 (g/cc) 1.50 1.46
전술된 본 발명의 실시예들은, 고온에서 그리고 더 느린 증착 레이트로 비정질 탄소 층을 증착하고, 이어서 고온, 저압 UV 경화 프로세스를 실시하며. 이에 의해 증착된 필름이 20% 미만의 -H 함량이 존재하는 높은 탄소 리치(highly carbon rich)가 되도록 하는 것에 의해서, 낮은 수소 함량, 감소된 압축 필름 응력 및 높은 필름 밀도를 갖는 비정질 탄소 필름을 형성하는 것을 허용한다. 본 발명에 의해서 제시된 바와 같이 증착된 필름의 더 낮은 H 함량을 획득하기 위한 비정질 탄소 층의 UV 경화는, 필름으로부터 수소를 추출하기 위해서 산업에서 전형적으로 이용되는 바와 같은, 증착된 필름 내의 압축 응력을 증가시키는 이온 충격 또는 도핑 접근방식에 대비되는 것이다. 비정질 탄소 필름의 증가된 밀도는, 통상적인 비정질 탄소 하드마스크들을 이용하는 45 nm 아래의 디바이스들의 경우에 일반적으로 보여지는 바와 같은 라인 요동 및 벤딩 문제들 없이, 하드마스크 필름들을 위한 더 높은 에칭 선택비를 초래하고, 그에 의해서 양호한 라인 엣지 거칠기(roughness), 라인 폭 거칠기 및 공간 폭 거칠기를 제공한다.
전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 기판 프로세싱 방법으로서:
    탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 프로세싱 시스템 내에 로케이팅된 증착 챔버 내로 도입하는 단계;
    기판 상에 비정질 탄소 층을 형성하기 위해서 약 200 ℃ 내지 약 700 ℃의 온도에서 증착 챔버에서 가스 혼합물로부터 플라즈마를 생성하는 단계 - 상기 비정질 탄소 층은 약 20% 미만의 수소 함량을 가짐 -;
    진공을 파괴하지 않고 상기 기판을 상기 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계; 및
    약 200 ℃ 초과의 경화 온도에서 경화 챔버 내에서 UV 복사선에 상기 기판을 노출시키는 단계를 포함하는,
    기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 비정질 탄소 층은 2000Å/분 미만의 증착 레이트로 그리고 약 480 ℃ 내지 약 650 ℃의 온도에서 증착되는,
    기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 기판은 약 1 Torr 내지 약 9 Torr의 챔버 압력, 약 1,000 milliWatts/㎠ 내지 약 1,500 milliWatts/㎠ 의 UV 파워, 및 약 450 ℃ 내지 약 650 ℃의 온도에서 UV 복사선에 노출되는,
    기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 탄화수소 가스 및 상기 희석 가스는 약 1:12 또는 그 초과의 탄화수소 가스 대 희석 가스 유동 비율로 증착 챔버 내로 도입되는,
    기판 프로세싱 방법.
  5. 제 4 항에 있어서,
    상기 탄화수소 가스 및 상기 희석 가스는 약 1:32 내지 약 1:18의 탄화수소 가스 대 희석 가스 유동 비율로 증착 챔버 내로 도입되는,
    기판 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 희석 가스는 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), CO, CO2, 또는 이들의 조합들을 포함하는,
    기판 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 탄화수소 가스는 아세틸렌(C2H2), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 비닐아세틸렌, 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    기판 프로세싱 방법.
  8. 기판 프로세싱 방법으로서:
    탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 프로세싱 시스템 내에 로케이팅된 증착 챔버 내로 도입하는 단계 - 상기 탄화수소 가스 및 희석 가스는 약 1:12 또는 그 초과의 탄화수소 가스 대 희석 가스 유동 비율로 상기 증착 챔버 내로 도입됨 -;
    기판 상에 저-수소 함량 비정질 탄소 층을 형성하기 위해서 상기 증착 챔버 에서 상기 가스 혼합물로부터 플라즈마를 생성하는 단계 - 상기 비정질 탄소 층은 약 20% 미만의 수소 함량을 짐 -;
    진공을 파괴하지 않고 상기 기판을 상기 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계; 및
    상기 기판을 상기 경화 챔버 내에서 UV 복사선에 노출시키는 단계를 포함하는,
    기판 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 기판은 약 1,000 milliWatts/㎠ 내지 약 1,500 milliWatts/㎠의 UV 파워 및 약 200 ℃ 초과의 온도에서 UV 복사선에 노출되는,
    기판 프로세싱 방법.
  10. 반도체 디바이스를 형성하는 방법으로서:
    탄화수소 가스 및 희석 가스를 포함하는 가스 혼합물을 증착 챔버 내로 도입하는 것, 및 20% 미만의 수소 함량을 갖는 비정질 탄소 층을 기판 상에 형성하기 위해서 약 200 ℃ 내지 약 700 ℃의 온도에서 상기 증착 챔버에서 상기 가스 혼합물로부터 플라즈마를 생성하는 것에 의해서, 프로세싱 시스템 내에 로케이팅된 상기 증착 챔버에서 기판 상에 상기 비정질 탄소 층을 형성하는 단계;
    진공을 파괴하지 않고 상기 기판을 상기 프로세싱 시스템 내에 로케이팅된 경화 챔버 내로 이송하는 단계;
    상기 기판 상에 형성된 비정질 탄소 층을 약 200 ℃ 초과의 경화 온도에서 상기 경화 챔버 내에서 UV 복사선에 노출시키는 단계;
    상기 비정질 탄소 층의 적어도 하나의 영역에 패턴을 정의하는 단계; 및
    상기 비정질 탄소 층을 마스크로서 이용하여 상기 비정질 탄소 층의 적어도 하나의 영역에 정의된 패턴을 상기 기판 내로 전사하는 단계를 포함하는,
    반도체 디바이스 형성 방법.
  11. 제 10 항에 있어서,
    상기 비정질 탄소 층은 2000Å/분 미만의 증착 레이트로 그리고 약 480 ℃ 내지 약 650 ℃의 온도에서 증착되는,
    반도체 디바이스 형성 방법.
  12. 제 10 항에 있어서,
    상기 기판은 약 1 Torr 내지 약 9 Torr의 챔버 압력, 및 약 1,000 milliWatts/㎠ 내지 약 1,500 milliWatts/㎠ 의 UV 파워에서 UV 복사선에 노출되는,
    반도체 디바이스 형성 방법.
  13. 제 10 항에 있어서,
    상기 탄화수소 가스 및 상기 희석 가스는 약 1:32 내지 약 1:18의 탄화수소 가스 대 희석 가스 유동 비율로 증착 챔버 내로 도입되는,
    반도체 디바이스 형성 방법.
  14. 제 10 항에 있어서,
    상기 희석 가스는 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), CO, CO2, 또는 이들의 조합들을 포함하는,
    반도체 디바이스 형성 방법.
  15. 제 10 항에 있어서,
    상기 탄화수소 가스는 아세틸렌(C2H2), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 비닐아세틸렌, 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    반도체 디바이스 형성 방법.
KR1020147029221A 2012-05-10 2013-04-09 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착 KR102066524B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/468,776 US8679987B2 (en) 2012-05-10 2012-05-10 Deposition of an amorphous carbon layer with high film density and high etch selectivity
US13/468,776 2012-05-10
PCT/US2013/035827 WO2013169427A1 (en) 2012-05-10 2013-04-09 Deposition of an amorphous carbon layer with high film density and high etch selectivity

Publications (2)

Publication Number Publication Date
KR20150007287A true KR20150007287A (ko) 2015-01-20
KR102066524B1 KR102066524B1 (ko) 2020-01-15

Family

ID=49548925

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147029221A KR102066524B1 (ko) 2012-05-10 2013-04-09 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착

Country Status (4)

Country Link
US (1) US8679987B2 (ko)
KR (1) KR102066524B1 (ko)
TW (1) TW201346990A (ko)
WO (1) WO2013169427A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9328422B2 (en) * 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
US8822344B1 (en) * 2013-03-08 2014-09-02 Lam Research Corporation Method of etching an etch layer
WO2015105651A1 (en) * 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US20150200094A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10483010B2 (en) * 2016-09-07 2019-11-19 Lam Research Ag Reduction of surface and embedded substrate charge by controlled exposure to vacuum ultraviolet (VUV) light in low-oxygen environment
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090026683A (ko) * 2007-09-10 2009-03-13 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US20120015521A1 (en) * 2010-04-30 2012-01-19 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20020010257A (ko) 2000-07-28 2002-02-04 김인광 로봇 시스템에서의 자기위치 인식 장치 및 방법
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
CN101365824B (zh) 2005-08-18 2010-09-01 贝卡尔特股份有限公司 用包含四面体碳涂层的多层结构涂覆的基底
KR100772678B1 (ko) 2005-09-27 2007-11-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조 방법
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
CN101663416A (zh) 2007-02-06 2010-03-03 贝卡尔特股份有限公司 氢化无定形碳涂层
US8154185B2 (en) 2007-02-12 2012-04-10 The Board Of Trustees Of The Leland Stanford Junior University Diamondoid monolayers as electron emitters
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20100310863A1 (en) * 2007-11-30 2010-12-09 Kaneka Corporation Transparent electroconductive film and method for producing the same
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
CN103109357B (zh) * 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090026683A (ko) * 2007-09-10 2009-03-13 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US20120015521A1 (en) * 2010-04-30 2012-01-19 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막

Also Published As

Publication number Publication date
KR102066524B1 (ko) 2020-01-15
US20130302996A1 (en) 2013-11-14
TW201346990A (zh) 2013-11-16
US8679987B2 (en) 2014-03-25
WO2013169427A1 (en) 2013-11-14

Similar Documents

Publication Publication Date Title
KR102066524B1 (ko) 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
US8361906B2 (en) Ultra high selectivity ashable hard mask film
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
CN101595559B (zh) 形成镶嵌结构的方法
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
US8349741B2 (en) Amorphous carbon deposition method for improved stack defectivity
KR20130062924A (ko) 질소 도핑된 비정질 탄소 하드마스크
JP2013527621A (ja) パターン密度およびアスペクト比を増加させるための平坦化エッチングハードマスク

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant